Part Number Hot Search : 
A5800812 SMC12 NTE265 TA143 UGSP15D 2SA564AS SR320 NE5559
Product Description
Full Text Search
 

To Download LVPXA271FC0 Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  intel? pxa27x processor family electrical, mechanical, and thermal specification data sheet high-performance processor: ?intel xscale? microarchitecture with intel? wireless mmx? technology ?7 stage pipeline ?32 kb instruction cache ?32 kb data cache ?2 kb ?mini? data cache ? extensive data buffering 256 kbytes of internal sram for high speed code or data storage preserved during low-power states high-speed baseband processor interface (mobile scalable link) rich serial peripheral set: ?ac?97 audio port ?i 2 s audio port ?usb client controller ?usb host controller ?usb on-the-go controller ? three high-speed uarts (two with hardware flow control) ?fir and sir infrared communications port hardware debug features ? ieee jtag interface with boundary scan hardware performance-monitoring features with on-chip trace buffer real-time clock operating-system timers lcd controller universal subscriber identity module interface low power: ?wireless intel speedstep? technology ?less than 500 mw typical internal dissipation ?supply voltage may be reduced to 0.85 v ?four low-power modes ?dynamic voltage and frequency management high-performance memory controller: ?four banks of sdram: up to 104 mhz @ 1.8 v i/o interface ?six static chip selects ? support for pcmcia and compact flash ?companion chip interface flexible clocking: ?cpu clock from 104 to 520 mhz ?flexible memory clock ratios ?frequency changes ?functional clock gating additional peripherals for system connectivity: ? sd card / mmc controller (with spi mode support) ?memory stick card controller ? three ssp controllers ?two i 2 c controllers ?four pulse-width modulators (pwms) ?keypad interface with both direct and matrix keys support ? most peripheral pins double as gpios order number 280003-001
ii electrical, mechanical, and thermal specification information in this document is provided in connection with intel ? products. no license, express or implied, by estoppel or otherwise, to any intellectual property righ ts is granted by this document. except as provided in intel's terms and conditions of sale for such products, intel assu mes no liability whatsoever, and intel disclaims any express or implied warranty, relating to sale and/or use of intel product s including liability or warranties relating to fitness for a particular purpose, merchantability, or infringeme nt of any patent, copyright or other intellectual property right. intel products are not intended for use in medical, life saving, life sustaining applications. intel may make changes to specifications and product descriptions at any time, without notice. designers must not rely on the absence or characteristics of any features or instructions marked "reserved" or "undefined. inte l reserves these for future definition and shall have no responsibility whatsoever for conflicts or incompatibilities arising from future changes to them. the pxa27x processor may contain design defects or errors known as errata which may cause the product to deviate from published specificat ions. current characterized errata are available on request. this document and the software described in it are furnished under license and may only be used or copied in accordance with th e terms of the license. the information in this document is furnished for informational use only, is subject to change without notice, and sho uld not be construed as a commitment by intel corporation. intel corporation assumes no responsibility or liability for any errors or inaccuracies that m ay appear in this document or any software that may be provided in association with this document. except as permitted by such license, no part o f this document may be reproduced, stored in a retrieval system, or transmitted in any form or by any means without the express written consent of intel corporation. contact your local intel sales office or your distributor to obtain the latest specifications and before placing your product o rder. copies of documents which have an ordering number and are referenced in this document, or other intel literature may be obtaine d by calling 1-800-548-4725 or by visiting intel's website at http://www.intel.com. alertview, anypoint, appchoice, boardwatch, bunnypeople, cableport, celeron, chips, ct connect, ct media, dialogic, dm3, ethere xpress, etox, flashfile, i386, i486, i960, icomp, instantip, intel, intel logo, intel386, intel486, intel740, inteldx2, inteldx4, intel sx2, intel create & share, intel gigablade, intel inbusiness, intel inside, intel inside logo, intel netburst, intel netmerge, intel netstructure, intel p lay, intel play logo, intel singledriver, intel speedstep, intel strataflash, intel teamstation, intel xeon, intel xscale, iplink, itanium, landesk, lanrov er, mcs, mmx, mmx logo, optimizer logo, overdrive, paragon, pc dads, pc parents, pdcharm, pentium, pentium ii xeon, pentium iii xeon, performance at your command, remoteexpress, shiva, smartdie, solutions960, sound mark, storageexpress, the computer inside., the journey inside, tokenexpress, trillium, voicebrick, vtune, and xircom are trademarks or registered trademarks of intel corporation or its subsi diaries in the united states and other countries. *other names and brands may be claimed as the property of others. copyright ? intel corporation, 2004
intel? pxa27x processor family contents electrical, mechanical, and thermal specification iii contents 1 introduction .........................................................................................................1-1 1.1 about this document .................................................................................1-1 1.1.1 number representation ................................................................1-1 1.1.2 typographical conventions...........................................................1-1 1.1.3 applicable documents...................................................................1-2 2 functional overview ...........................................................................................2-1 3 package information ...........................................................................................3-1 3.1 package information ..................................................................................3-1 3.2 processor materials....................................................................................3-2 3.3 junction to case temperature thermal resistance .................................3-3 3.4 processor markings....................................................................................3-3 3.5 intel? pxa27x processor family identification markings ..........................3-5 3.6 tray drawing ..............................................................................................3-5 4 pin listing and signal definitions .....................................................................4-1 4.1 ball map view.............................................................................................4-2 4.1.1 1.8v scsp ball map .....................................................................4-2 4.2 intel? pxa27x processor family mapping tables.....................................4-7 4.2.1 intel? pxa271 processor (1x256mbit(x16) flash and 1x256mbit(x16) sdram - 1.8v)....................................................4-7 4.2.2 pxa272 processor (2x256 mbit(x32) (x16 each die) flash - 1.8v)................................................................................4-10 4.2.3 pxa273 processor (2x128 mbit(x32) (x16 each die) flash - 1.8v)................................................................................4-13 4.3 pin use.....................................................................................................4-14 4.4 pin usage.................................................................................................4-17 4.5 signal types.............................................................................................4-31 4.6 memory controller reset and initialization...............................................4-32 4.7 power-supply pins ...................................................................................4-33 5 electrical specifications .....................................................................................5-1 5.1 absolute maximum ratings........................................................................5-1 5.2 operating conditions..................................................................................5-1 5.3 power-consumption specifications............................................................5-5 5.4 dc specification.........................................................................................5-6 5.5 oscillator electrical specifications..............................................................5-6 5.5.1 32.768-khz oscillator specifications .............................................5-7 5.5.2 13.000-mhz oscillator specifications............................................5-8 5.6 clk_pio and clk_tout specifications ..................................................5-9 5.7 48 mhz output specifications ..................................................................5-10 6 ac timing specifications ...................................................................................6-1 6.1 ac test load specifications ......................................................................6-1 6.2 reset and power manager timing specifications......................................6-2 6.2.1 power-on timing specifications ...................................................6-2
intel? pxa27x processor family contents iv electrical, mechanical, and thermal specification 6.2.2 hardware reset timing.................................................................6-4 6.2.3 watchdog reset timing ................................................................6-5 6.2.4 gpio reset timing .......................................................................6-5 6.2.5 sleep mode timing .......................................................................6-6 6.2.6 deep-sleep mode timing..............................................................6-7 6.2.7 standby-mode timing .................................................................6-10 6.2.8 idle-mode timing.........................................................................6-10 6.2.9 frequency-change timing..........................................................6-10 6.2.10 voltage-change timing...............................................................6-11 6.3 gpio timing specifications .....................................................................6-11 6.4 memory and expansion-card timing specifications................................6-12 6.4.1 internal sram read/write timing specifications .......................6-12 6.4.2 sdram parameters and timing diagrams.................................6-12 6.4.3 rom parameters and timing diagrams .....................................6-18 6.4.4 flash memory parameters and timing diagrams.......................6-23 6.4.5 sram parameters and timing diagrams ...................................6-33 6.4.6 variable-latency i/o parameters and timing diagrams.............6-36 6.4.7 expansion-card interface parameters and timing diagrams.....6-40 6.5 lcd timing specifications .......................................................................6-43 6.6 ssp timing specifications .......................................................................6-44 6.7 jtag boundary scan timing specifications............................................6-45 appendix a ................................................................................................................... a-1 glossary .............................................................................................................glossary-1 figures 2-1 intel? pxa27x processor family block diagram, typical system ....................2-2 3-1 14 x 14 mm intel? pxa27x processor family package, top view ...................3-1 3-2 14 x 14 mm intel? pxa27x processor family package, top view ...................3-2 3-3 14 x 14-mm intel? pxa27x processor family package, side view ..................3-2 3-4 processor markings, intel? pxa27x processor family .....................................3-4 3-5 intel? pxa27x product information decoder.....................................................3-4 4-1 1.8v intel? pxa27x processor family ball map, top left quarter ...................4-3 4-2 1.8v intel? pxa27x processor family ball map, top right quarter.................4-4 4-3 1.8v intel? pxa27x processor family ball map, bottom left quarter ..............4-5 4-4 1.8v intel? pxa27x processor family ball map, bottom right quarter............4-6 6-1 ac test load .....................................................................................................6-2 6-2 power on reset timing .....................................................................................6-3 6-3 hardware reset timing .....................................................................................6-4 6-4 gpio reset timing ............................................................................................6-5 6-5 sleep mode timing ............................................................................................6-7 6-6 deep-sleep-mode timing ..................................................................................6-8 6-7 sdram timing ................................................................................................6-15 6-8 sdram 4-beat read/4-beat write, different banks timing............................6-16 6-9 sdram 4-beat write/4-beat write, same bank-same row timing ...............6-17 6-10sdram fly-by dma timing.............................................................................6-18 6-1132-bit non-burst rom, sram, or flash read timing .....................................6-20 6-1232-bit burst-of-eight rom or flash read timing ............................................6-21
intel? pxa27x processor family contents electrical, mechanical, and thermal specification v 6-13 eight-beat burst read from 16-bit burst-of-four rom or flash timing..........6-22 6-14 16-bit rom/flash/sram read for 4/2/1 bytes timing ....................................6-23 6-15 synchronous flash burst-of-eight read timing ..............................................6-26 6-16 synchronous flash stacked burst-of-eight read timing ................................6-27 6-17 first-access latency configuration timing......................................................6-28 6-18 synchronous flash burst read example.........................................................6-30 6-19 32-bit flash write timing .................................................................................6-31 6-20 32-bit stacked flash write timing ...................................................................6-32 6-21 16-bit flash write timing .................................................................................6-33 6-22 32-bit sram write timing ...............................................................................6-35 6-23 16-bit sram write for 4/2/1 byte(s) timing .....................................................6-36 6-24 32-bit vlio read timing .................................................................................6-38 6-25 32-bit vlio write timing..................................................................................6-39 6-26 expansion-card memory or i/o 16-bit access timing.....................................6-41 6-27 expansion-card memory or i/o 16-bit access to 8-bit device timing ............6-42 6-28 lcd timing definitions.....................................................................................6-43 6-29 ssp master mode timing definitions...............................................................6-44 6-30 timing diagram for ssp slave mode transmitting data to an external peripheral .........................................................................................................6-44 6-31 timing diagram for ssp slave mode receiving data from external peripheral .........................................................................................................6-45 6-32 jtag boundary-scan timing...........................................................................6-46 tables 1-1 supplemental documentation ............................................................................1-2 3-1 intel? pxa27x processor family package information .....................................3-2 3-2 processor material properties ............................................................................3-3 3-3 intel? pxa27x processor family id markings ...................................................3-5 4-1 1x256mbit(x16) flash and 1x256mbit(x16) sdram - 1.8v ...............................4-7 4-2 2x256 mbit(x32) (x16 each die) flash - 1.8v ...................................................4-10 4-3 2x128mbit(x32) (x16 each die) flash - 1.8v ....................................................4-14 4-4 scsp pin usage summary..............................................................................4-17 4-5 pin usage and mapping notes.........................................................................4-31 4-6 signal types.....................................................................................................4-32 4-7 memory controller pin reset values ...............................................................4-32 4-8 scsp 1.8v power supply pin summary .........................................................4-33 5-1 absolute maximum ratings................................................................................5-1 5-2 voltage, temperature, and frequency electrical specifications........................5-2 5-3 memory voltage and frequency electrical specifications .................................5-3 5-4 core voltage and frequency electrical specifications.......................................5-4 5-5 internally generated power domain descriptions .............................................5-5 5-6 core voltage specifications for lower power modes .......................................5-5 5-7 standard input, output, and i/o pin dc operating conditions ..........................5-6 5-8 typical 32.768-khz crystal requirements .........................................................5-7 5-9 typical external 32.768-khz oscillator requirements .......................................5-8 5-10 typical 13.000-mhz crystal requirements........................................................5-8 5-11 typical external 13.000-mhz oscillator requirements......................................5-9 5-12 clk_pio specifications .....................................................................................5-9 5-13 clk_tout specifications .................................................................................5-9 5-14 48 mhz output specifications ..........................................................................5-10
intel? pxa27x processor family contents vi electrical, mechanical, and thermal specification 6-1 standard input, output, and i/o-pin ac operating conditions ..........................6-1 6-2 power-on timing specifications (oscc[cri] = 0) ............................................6-3 6-3 hardware reset timing specifications (oscc[cri] = 0) ..................................6-4 6-4 hardware reset timing specifications (oscc[cri] = 1) .................................6-5 6-5 gpio reset timing specifications .....................................................................6-6 6-6 sleep-mode timing specifications .....................................................................6-7 6-7 deep-sleep mode timing specifications ...........................................................6-8 6-8 gpio pu/pd timing specifications for deep-sleep mode .................................6-9 6-9 standby-mode timing specifications ...............................................................6-10 6-10idle-mode timing specifications ......................................................................6-10 6-11frequency-change timing specifications .......................................................6-10 6-12voltage-change timing specification for a 1-byte command.........................6-11 6-13gpio timing specifications .............................................................................6-11 6-14sram read/write ac specification ................................................................6-12 6-15sdram interface ac specifications ................................................................6-13 6-16rom ac specification .....................................................................................6-18 6-17synchronous flash read ac specifications....................................................6-24 6-18flash memory ac specification .......................................................................6-30 6-19sram write ac specification ..........................................................................6-34 6-20vlio timing .....................................................................................................6-37 6-21expansion-card interface ac specifications ...................................................6-40 6-22lcd timing specifications ...............................................................................6-43 6-23ssp master mode timing specifications .........................................................6-44 6-24 timing specification for ssp slave mode transmitting data to external peripheral.........................................................................................................6-45 6-25 timing specification for ssp slave mode receiving data from external peripheral.........................................................................................................6-45 6-26boundary scan timing specifications..............................................................6-45
electrical, mechanical, and thermal specification 1-1 introduction 1 the intel? pxa27x processor family (intel pxa27x processor family) provides industry-leading multimedia performance, low-power capabilities, rich peripheral integration and second generation memory stacking. designed from the ground up for wireless clients, it incorporates the latest intel advances in mobile technology over its predecessor, the intel? pxa255 processor. the intel pxa27x processor family redefines scalability by operating from 104 mhz up to 520 mhz, providing enough performance for the most demanding mobile applications. the intel pxa27x processor family is the first intel processor to include intel? wireless mmx? technology, enabling high-performance, low-power multimedia acceleration with a general- purpose instruction set. intel? quick capture technology provides a flexible and powerful camera interface for capturing digital images and video. while performance is key in the intel pxa27x processor family, power consumption is also a critical component. the new capabilities of wireless intel speedstep? technology set the standard for low-power consumption. the intel pxa27x processor family is available in both discrete and stacked versions in the following configurations: ? intel? pxa271 processor (intel pxa271 processor) with 32 mbytes of intel strataflash? memory and 32 mbytes of low-power sdram ? intel? pxa272 processor (intel pxa272 processor) with 64 mbytes of intel strataflash? memory ? intel? pxa273 processor (intel pxa273 processor) 32 mbytes of intel strataflash? memory 1.1 about this document this document constitutes the electrical, mechanical, and thermal specifications for the intel pxa27x processor. it contains a functional overview, mechanical data, package signal locations, targeted electrical specifications, and functional bus waveforms. for detailed functional descriptions other than parametric performance, refer to the intel? pxa27x processor family developers manual . 1.1.1 number representation all numbers in this document are base 10 unless designated otherwise. hexadecimal numbers have a prefix of 0x, and binary numbers have a prefix of 0b. for example, 107 is represented as 0x6b in hexadecimal and 0b110_1011 in binary. 1.1.2 typographical conventions all signal and register-bit names appear in uppercase. active low items are prefixed with a lowercase ?n?. bits within a signal name are enclosed in angle brackets:
1-2 electrical, mechanical, and thermal specification intel? pxa27x processor family introduction external_address<31:0> ncs<1> bits within a register bit field are enclosed in square brackets: register_bitfield[3:0] register_bit[0] single-bit items have either of two states: ? clear ? the item contains the value 0b0. to clear a bit, write 0b0 to it. ? set ? the item contains the value 0b1. to set a bit, write 0b1 to it. 1.1.3 applicable documents table 1-1 lists supplemental information sources for the intel pxa27x processor family. contact an intel representative for the latest document revisions and ordering instructions. table 1-1. supplemental documentation document title intel? pxa27x processor family developers manual arm ? architecture version 5t specification (document number arm* ddi 0100d-10), and arm ? architecture reference manual (document number arm* ddi 0100b) intel ? xscale? core developer?s manual intel? wireless mmx? technology developer?s guide intel? pxa27x processor design guide intel? pxa27x processor power s upply requirements application note intel? 128l30+128sdram datasheet intel? 256l18+256sdram datasheet
electrical, mechanical, and thermal specification 2-1 functional overview 2 the intel? pxa27x processor family (intel pxa27x processor family) is an integrated system- on-a-chip microprocessor for high performance, dynamic, low-power portable handheld and hand- set devices. it incorporates the intel xscale? technology which complies with the arm* version 5te instruction set (excluding floating-point instructions) and follows the arm* programmer?s model. the intel pxa27x processor family also provides intel? wireless mmx? media enhancement technology, which supports integer instructions to accelerate audio and video processing. in addition, it incorporates wireless intel speedstep? technology, which provides sophisticated power management capabilities enabling excellent mips/mw performance. the intel pxa27x processor family provides a scalable, bi-directional data interface to a cellular baseband processor, supporting seven logical channels and other features. the operating-system (os) timer channels and synchronous serial ports (ssps) also accept an external network clock input so that they can be synchronized to the cellular network. the processor also provides a universal subscriber identity module* (usim) card interface. the intel pxa27x processor memory interface gives designers flexibility as it supports a variety of external memory types. the processor also provides four 64 kilobyte banks of on-chip sram, which can be used for program code or multimedia data. each bank can be configured independently to retain its contents when the processor enters a low-power mode. an integrated lcd panel controller supports displays up to 800 by 600 pixels, permitting 1-, 2-, 4-, and 8-bit gray scale and 1-, 2-, 4-, 8-, 16-, 18-, and 24-bit color pixels. a 256-byte palette ram provides flexible color mapping. a set of serial devices and general-system resources offers computational and connectivity capability for a variety of applications. figure 2-1 shows the block diagram for a typical intel pxa27x processor system.
electrical, mechanical, and thermal specification 2-2 intel? pxa27x processor family functional overview figure 2-1. intel? pxa27x processor family block diagram, typical system general purpose i/o dma controller and bridge mhz osc xscale? micro- memory controller pcmcia & cf control variable control dynamic control static control memory memory latency i/o asic xcvr rom/ flash/ sram socket 0 socket 1 intel? wireless mmx? usb host system bus controller internal sram 13 power management clock control primary gpio peripheral bus address and data address and data bus ac97 rtc i 2 s os timers 4 x pwm interrupt usim 3 x ssp irda i 2 c full function uart usb client bb processor interface keypad interface bluetooth uart sdcard/mmc interface memory stick interface lcd lcd khz osc 32.768 controller architecture intel? jtag controller debug usb otg camera interface sdram general purpose i/o dma controller and bridge mhz osc xscale? mi cro- memory controller pcmcia & cf control var ia ble control dynamic control st at ic control memory memory latency i /o as i c xcvr sdram/ boot rom/ flash/ sram soc ket 0 soc ket 1 intel? wireless mmx? usb ho st system bus controller in tern al sram 13 power management clock cont ro l primary gpio peripheral bus address and data address and data b us ac 97 rt c i 2 s os timers 4 x pwm i nterru pt usim 3 x ssp irda i 2 c full function ua rt usb client bb processor interface k e yp ad interface bl u et o ot h * uart sdcard/mmc interface memory stick interface lc d lcd khz osc 32 .76 8 controller architecture intel? jtag controller debug rom usb otg camer a interface ?
electrical, mechanical, and thermal specification 3-1 package information 3 this chapter provides the mechanical specifications for the intel? pxa27x processor family (intel pxa27x processor family). 3.1 package information figure 3-1. 14 x 14 mm intel? pxa27x processor family package, top view the intel pxa27x processor family has the following characteristics: ? ball pitch: 0.65mm ? ball diameter: 0.30 mm ? substrate thickness: 0.21 mm ? mold thickness: 0.45 mm the intel pxa27x processor family is packaged in a 14- by 14-mm, 336-pin, 0.65-mm package, as shown in figure 3-2 and figure 3-3 . refer to table 3-1 for package configuration information for figure 3-1 and figure 3-2. a b c d e f g h i j k l m n o p q r s t u v w x y 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 a1 corner
3-2 electrical, mechanical, and thermal specification intel? pxa27x processor family package information 3.2 processor materials figure 3-2. 14 x 14 mm intel? pxa27x processor family package, top view figure 3-3. 14 x 14-mm intel? pxa27x processor family package, side view table 3-1. intel? pxa27x processor family package information (sheet 1 of 2) dimension symbol min typical max pacakge height a 1.55 ball height a1 0.180 package body thickness a2 1.121 1.195 ball (lead) width b 0.350 0.4 0.450 bottom package body width d 13.9 14 14.1 bottom package body length e 13.9 14 14.1 top view - bottom package ball side down complete ink mark not shown a b c d e f g h j k l m n p r t u v w y 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 d e g f ball a1 corner seat i ng pl ane y a a 2 a 1
electrical, mechanical, and thermal specification 3-3 intel? pxa27x processor family package information table 3-2 describes the basic material properties of the processor components. 3.3 junction to case temperature thermal resistance 3.4 processor markings figure 3-4 details the processor top markings, which identify the intel pxa27x processor family in the 336-pin package. refer to table 3-1 for product information. top package body width f 10.9 11 11.1 top package body length g 12.9 13 13.1 pitch [e] 0.650 ball (lead) count n 336 seating plane coplanarity y 0.150 corner to ball a1 distance along d s1 0.825 corner to ball a1 distance along e s2 0.825 table 3-1. intel? pxa27x processor family package information (sheet 2 of 2) dimension symbol min typical max table 3-2. processor material properties component material mold compound shinetsu kmc 2500 vat1 solder balls 63 sn/37 pb ? ? subsequent processor steppings may use pb-free (94.5 sn/5.0 ag/ 0.5 cu) balls . parameter value and units theta jc 2 degrees c / watt
3-4 electrical, mechanical, and thermal specification intel? pxa27x processor family package information figure 3-5. in tel? pxa27x product in f ormation decoder (see also table 3-3) figure 3-4. processor markings, intel? pxa27x processor family level 1 name fpo # intel legal i pxa271fc0416 mcp fpo# intel m c ?03 alt# flash pkg philippines alt top pkg. coo level 1 name fpo # intel legal i pxa271fc0416 mcp fpo# intel m c ?03 alt# flash pkg philippines alt top pkg. coo intel xscale? family product family member 271=product number package type lv=leaded rc=lead-free stepping speed 312 mhz 416 mhz 520 mhz flash r c pxa2 71 fc0 4 16
electrical, mechanical, and thermal specification 3-5 intel? pxa27x processor family package information 3.5 intel? pxa27x processor family identification markings table 3-3 shows the stacked memory configuration for each of the intel pxa27x processor family packages. refer to figure 3-5 to decode product information in table 3-3. 3.6 tray drawing for tray drawing information, refer to the intel developer website for the intel? wireless communications and computing package users guide . table 3-3. intel? pxa27x processor family id markings pxa pxa27x package stepping level 1 name 1 apps processor cpu stepping stacked memory configuration reference documentation pxa271 c0 lvpxa271fco c0 1x256 mbit x 16 flash + 1x256 mbit x 16 sdram - intel? pxa27x memory subsytem (x16) with lpsdram data sheet order no. 301855-001 - intel? pxa27x memory subsystem (x32) data sheet order no. 301854-001 pxa272 c0 lvpxa272fc0 c0 2x256mbit x 32 flash pxa273 c0 lvpxa273fc0 c0 2x128mbit x 32 flash notes: 1. from top package markings in figures above 2. a pb-free (lead-free) package is indicated by the letter ?e? on the 4th line of information (intel legal line). the ?e? appears after the date stamp
3-6 electrical, mechanical, and thermal specification intel? pxa27x processor family package information
electrical, mechanical, and thermal specification 4-1 pin listing and signal definitions 4 this chapter describes the signals and pins for the intel? pxa27x processor family (pxa27x processor). for descriptions of all signals, refer to the ?system architecture? chapter in the intel? pxa27x processor family developer?s manual . table 4-4 lists the mapping of signals to specific package pins. many of the package pins are multiplexed so that they can be configured for use as a general purpose i/o signal or as one of two or three alternate functions using the gpio alternate-function select registers. some signals can be configured to appear on one of several different package pins. table 4-1 through table 4-3 shows the pxa27x memory-specific balls and connectivity within the package.
4-2 electrical, mechanical, and thermal specification intel? pxa27x processor family pin listing and signal definitions 4.1 ball map view note: in the following ball map figures the lowercase letter ?n?, which normally indicates negation, appears as uppercase ?n?. 4.1.1 1.8v scsp ball map figure 4-1 through figure 4-4 shows the ball map for all of the 1.8v pxa27x devices. the following options are available: ? intel? pxa271 processor - 1x256mbit(x16) flash and 1x256mbit(x16) sdram 1.8v ? intel? pxa272 processor - 2x256mbit(x32) flash (x16 each die) 1.8v ? intel? pxa273 processor - 2x128mbit(x32) flash (x16 each die) 1.8v
electrical, mechanical, and thermal specification 4-3 intel? pxa27x processor family pin listing and signal definitions figure 4-1. 1.8v intel? pxa27x processor family ball map, top left quarter 12345678910 a vcc_usb vcc_usb gpio<89> gpio<42> usbh_n<1> usbh_p<1> gpio<90> gpio<61> gpio<65> gpio<71> b gpio<118> gpio<119> gpio<88> gpio<43> gpio<115> uio vcc_core vcc_lcd vcc_core gpio<70> c vss_core usbc_n gpio<120> gpio<116> gpio<114> vcc_usim gpio<91> gpio<63> gpio<64> gpio<69> d vcc_usb usbc_p gpio<44> gpio<39> gpio<41> vss_io gpio<58> gpio<59> vss_io gpio<68> e vcc_core gpio<117> gpio<35> vss_io gpio<109> gpio<16> vss_core gpio<62> gpio<66> vss_core f gpio<45> gpio<34> gpio<32> gpio<110> gpio<111> gpio<25> gpio<22> gpio<60> vss_core gpio<67> g gpio<112> gpio<92> gpio<17> gpio<36> gpio<37> gpio<30> h gpio<23> gpio<24> gpio<26> gpio<27> gpio<38> gpio<46> j vcc_io vss_io gpio<40> gpio<31> vss_core gpio<11> k gpio<28> gpio<29> vcc_core gpio<113> gpio<47> vss_core
4-4 electrical, mechanical, and thermal specification intel? pxa27x processor family pin listing and signal definitions figure 4-2. 1.8v intel? pxa27x processor family ball map, top right quarter 11 12 13 14 15 16 17 18 19 20 vcc_lcd vcc_core testclk gpio<9> gpio<0> nreset txtal_out vss vss vss a vcc_core gpio<14> vss_io tdi gpio<4> pwr_en txtal_in boot_sel nreset_o ut vss b gpio<86> gpio<75> tms ntrst vss gpio<3> nvdd_faul t nbatt_fau lt pwr_cap< 0> vss c gpio<87> gpio<76> gpio<77> tdo gpio<10> gpio<1> sys_en pwr_cap< 1> vss pwr_out d gpio<72> vss_io gpio<74> tck clk_req pwr_cap< 3> vcc_batt pwr_cap< 2> pxtal_in pxtal_out e vss_core gpio<73> vss_core gpio<19> gpio<97> gpio<94> gpio<96> vcc_pll vss_pll vss_io f vss_io gpio<100> gpio<99> gpio<98> gpio<93> gpio<95> g vss_core gpio<106> gpio<104> gpio<101> gpio<102> vcc_io h gpio<51> gpio<108> gpio<107> gpio<105> vcc_core gpio<103> j gpio<81> vss_bb gpio<50> gpio<52> gpio<53> gpio<54> k
electrical, mechanical, and thermal specification 4-5 intel? pxa27x processor family pin listing and signal definitions figure 4-3. 1.8v intel? pxa27x processor family ball map, bottom left quarter l vcc_io vss_io gpio<12> gpio<13> md<30> md<29> m gpio<49> gpio<18> vcc_sram rdnwr md<31> md<14> n vcc_mem vss_mem vcc_sram vss_core ma<6> md<15> p gpio<80> ma<18> gpio<79> ma<10> ma<9> ma<3> r vcc_mem vcc_core vcc_sram ma<19> ma<15> ma<7> ma<4> md<13> md<12> md<11> t vss_mem vcc_mem gpio<33> ma<20> ma<16> ma<12> ma<8> ma<2> md<28> md<27> u vcc_core vss_core vcc_sram vss_core vss_mem ma<13> vss_mem vss_mem vss_mem vss_mem v gpio<15> ma<25> vss_core gpio<78> vcc_mem ma<14> vcc_mem vcc_mem vcc_mem vcc_mem w ncs<0> ma<24> ma<0> ma<23> ma<21> ma<17> ma<11> ma<5> rfu f_vpp y vss_core vss_mem ma<1> ma<22> vss_mem vcc_mem vcc_mem nf_rst vss_mem vcc_mem 12345678910
4-6 electrical, mechanical, and thermal specification intel? pxa27x processor family pin listing and signal definitions figure 4-4. 1.8v intel? pxa27x processor family ball map, bottom right quarter note: ?rfu? means ?reserved for future use.? do not connect. gpio<85> gpio<55> gpio<57> gpio<48> vcc_core vcc_bb l md<5> md<4> md<1> gpio<56> gpio<83> gpio<84> m md<21> md<17> md<16> vss_mem gpio<82> vcc_mem n md<23> md<2> dqm<1> vss_mem sdclk<1> vcc_mem p md<26> md<25> vss_core md<8> md<22> vss_core nsdcs<1> gpio<21> vcc_mem vcc_core r md<10> md<9> vcc_core md<6> vcc_core md<18> dqm<2> sdcke sdclk<2> gpio<20> t vss_mem vss_mem md<24> vss_mem md<19> vss_mem md<0> vss_core vss_mem nsdcas u vcc_mem vcc_mem md<7> vcc_mem md<3> vcc_mem vcc_mem nsdcs<0> sdclk<3> sdclk<0> v vss_mem rfu rfu md<20> nf_wp<0> rfu dqm<3> dqm<0> noe nwe w vcc_mem vcc_mem vcc_mem nf_wp<1> rfu vcc_mem vss_mem rfu nsdras vcc_mem y 11 12 13 14 15 16 17 18 19 20
electrical, mechanical, and thermal specification 4-7 intel? pxa27x processor family pin listing and signal definitions 4.2 intel? pxa27x processor family mapping tables 4.2.1 intel? pxa271 processor (1x256mbit(x16) flash and 1x256mbit(x16) sdram - 1.8v) table 4-1 lists the ball names and position of the 1.8v pxa271 processor memory-specific balls and shows where they connect internally to the pxa27x processor, flash, and sdram. use with table 4-4 for complete ball-out information. refer to the memory data sheet for the 1.8v pxa27x processor family memory signal descriptions. table 4-1. 1x256mbit(x16) flash and 1x256mbit(x16) sdram - 1.8v (sheet 1 of 4) ball# type ball name sdram flash (l18) 2 pxa271 w18 input dqm<0> d-dm[1] ? dqm<0> p17 input dqm<1> d-dm[0] ? dqm<1> t17 input dqm<2> ? ? dqm<2> w17 input dqm<3> ? ? dqm<3> w1 input ncs<0> ? f1-ce# ncs<0> v18 input nsdcs<0> d1-cs# ? nsdcs<0> w20 input nwe we# we# nwe w19 input noe ? oe# noe u20 input nsdcas d-cas adv# nsdcas y19 input nsdras d-ras ? nsdras v19 input sdclk<3> ? f-clk sdclk<3> p19 input sdclk<1> r-clk ? sdclk<1> t18 input sdcke d-cke ? sdcke w15 input nf_wp<0> ? f-wp1# ? n6 bidirectional md<15> d0 d15 md<15> m6 bidirectional md<14> d1 d14 md<14> r8 bidirectional md<13> d3 d13 md<13> r9 bidirectional md<12> d2 d12 md<12> r10 bidirectional md<11> d5 d11 md<11> t11 bidirectional md<10> d4 d10 md<10> t12 bidirectional md<9> d7 d9 md<9> r14 bidirectional md<8> d6 d8 md<8> v13 bidirectional md<7> d9 d7 md<7> t14 bidirectional md<6> d8 d6 md<6> m15 bidirectional md<5> d11 d5 md<5> m16 bidirectional md<4> d10 d4 md<4> v15 bidirectional md<3> d13 d3 md<3> p16 bidirectional md<2> d12 d2 md<2> m17 bidirectional md<1> d15 d1 md<1>
4-8 electrical, mechanical, and thermal specification intel? pxa27x processor family pin listing and signal definitions u17 bidirectional md<0> d14 d0 md<0> v2 input ma<25> ? ? ma<25> w2 input ma<24> d-ba1 a23 ma<24> w4 input ma<23> d-ba0 a22 ma<23> y4 input ma<22> ? a21 ma<22> w5 input ma<21> ? a20 ma<21> t4 input ma<20> ? a19 ma<20> r4 input ma<19> ? a18 ma<19> p2 input ma<18> ? a17 ma<18> w6 input ma<17> ? a16 ma<17> t5 input ma<16> ? a15 ma<16> r5 input ma<15> ? a14 ma<15> v6 input ma<14> ? a13 ma<14> u6 input ma<13> a12 a12 ma<13> t6 input ma<12> a11 a11 ma<12> w7 input ma<11> a10 a10 ma<11> p4 input ma<10> a9 a9 ma<10> p5 input ma<9> a8 a8 ma<9> t7 input ma<8> a7 a7 ma<8> r6 input ma<7> a6 a6 ma<7> n5 input ma<6> a5 a5 ma<6> w8 input ma<5> a4 a4 ma<5> r7 input ma<4> a3 a3 ma<4> p6 input ma<3> a2 a2 ma<3> t8 input ma<2> a1 a1 ma<2> y3 input ma<1> a0 a0 2 ma<1> w3 input ma<0> ? ? ma<0> y8 input nf_rst ? f-rst# ? w10 supply f_vpp ? f-vpp ? table 4-1. 1x256mbit(x16) flash and 1x256mbit(x16) sdram - 1.8v (sheet 2 of 4) ball# type ball name sdram flash (l18) 2 pxa271
electrical, mechanical, and thermal specification 4-9 intel? pxa27x processor family pin listing and signal definitions n1/ t2/ v5/ v7/ v8/ v9/ v10/ v11/ v12/ v14/ v16/ v17/ r19/ n20/ p20/ r1/ y6/ y7/ y10/ y11/ y12/ y13/ y16/ y20/ supply vcc_mem vccq vccq vcc_mem u19/ y2/ n2/ n18/ p18/ t1/ u5/ u7/ u8/ u9/ u10/ u11/ u12/ u14/ u16/ w11/ y5/ y9/ y17 supply vss_mem vssq vssq vss_mem a18/ a19/ a20/ b20/ c20/ c15/ d19 supply vss vss vss vss table 4-1. 1x256mbit(x16) flash and 1x256mbit(x16) sdram - 1.8v (sheet 3 of 4) ball# type ball name sdram flash (l18) 2 pxa271
4-10 electrical, mechanical, and thermal specification intel? pxa27x processor family pin listing and signal definitions 4.2.2 pxa272 processor (2x256 mbit(x32) (x16 each die) flash - 1.8v) table 4-2 lists the ball names and position of the 2x256mbit (x32) 1.8v pxa272 processor memory-specific balls and shows where they connect internally to the pxa27x processor and flash. use with table 4-4 for complete ball-out information. w9/ w12/ w13/ w16/ y15/ y18 rfu rfu ? ? ? y14 input nf_wp<1> 1 ??? notes: 1. nf_wp<1> is reserved for a stacked data flash memory write protect pin (not yet available in current pxa27x processor configurations), in the top package. 2. address signals within this table align with those found in the discrete flash datasheet. address signals in the stacked datasheet are shifted by one for 16-bit flash and by two for 32-bit flash to align the stack ballout for processor/system design. table 4-1. 1x256mbit(x16) flash and 1x256mbit(x16) sdram - 1.8v (sheet 4 of 4) ball# type ball name sdram flash (l18) 2 pxa271 table 4-2. 2x256 mbit(x32) (x16 each die) flash - 1.8v (sheet 1 of 4) ball# type ball name flash die 1(l18) 2 flash die 2(l18) 2 pxa272 w1 input ncs<0> f1-ce# f1-ce# ncs<0> w20 input nwe we# we# nwe w19 input noe oe# oe# noe u20 input nsdcas adv# adv# nsdcas v19 input sdclk<3> f-clk f-clk sdclk<3> w15 input nf_wp<0> f-wp1# f-wp1# ? m5 bidirectional md<31> ? d15 md<31> l5 bidirectional md<30> ? d14 md<30> l6 bidirectional md<29> ? d13 md<29> t9 bidirectional md<28> ? d12 md<28> t10 bidirectional md<27> ? d11 md<27> r11 bidirectional md<26> ? d10 md<26> r12 bidirectional md<25> ? d9 md<25> u13 bidirectional md<24> ? d8 md<24> p15 bidirectional md<23> ? d7 md<23> r15 bidirectional md<22> ? d6 md<22> n15 bidirectional md<21> ? d5 md<21> w14 bidirectional md<20> ? d4 md<20> u15 bidirectional md<19> ? d3 md<19> t16 bidirectional md<18> ? d2 md<18>
electrical, mechanical, and thermal specification 4-11 intel? pxa27x processor family pin listing and signal definitions n16 bidirectional md<17> ? d1 md<17> n17 bidirectional md<16> ? d0 md<16> n6 bidirectional md<15> d15 ? md<15> m6 bidirectional md<14> d14 ? md<14> r8 bidirectional md<13> d13 ? md<13> r9 bidirectional md<12> d12 ? md<12> r10 bidirectional md<11> d11 ? md<11> t11 bidirectional md<10> d10 ? md<10> t12 bidirectional md<9> d9 ? md<9> r14 bidirectional md<8> d8 ? md<8> v13 bidirectional md<7> d7 ? md<7> t14 bidirectional md<6> d6 ? md<6> m15 bidirectional md<5> d5 ? md<5> m16 bidirectional md<4> d4 ? md<4> v15 bidirectional md<3> d3 ? md<3> p16 bidirectional md<2> d2 ? md<2> m17 bidirectional md<1> d1 ? md<1> u17 bidirectional md<0> d0 ? md<0> v2 input ma<25> a23 a23 ma<25> w2 input ma<24> a22 a22 ma<24> w4 input ma<23> a21 a21 ma<23> y4 input ma<22> a20 a20 ma<22> w5 input ma<21> a19 a19 ma<21> t4 input ma<20> a18 a18 ma<20> r4 input ma<19> a17 a17 ma<19> p2 input ma<18> a16 a16 ma<18> w6 input ma<17> a15 a15 ma<17> t5 input ma<16> a14 a14 ma<16> r5 input ma<15> a13 a13 ma<15> v6 input ma<14> a12 a12 ma<14> u6 input ma<13> a11 a11 ma<13> t6 input ma<12> a10 a10 ma<12> w7 input ma<11> a9 a9 ma<11> p4 input ma<10> a8 a8 ma<10> p5 input ma<9> a7 a7 ma<9> t7 input ma<8> a6 a6 ma<8> r6 input ma<7> a5 a5 ma<7> n5 input ma<6> a4 a4 ma<6> table 4-2. 2x256 mbit(x32) (x16 each die) flash - 1.8v (sheet 2 of 4) ball# type ball name flash die 1(l18) 2 flash die 2(l18) 2 pxa272
4-12 electrical, mechanical, and thermal specification intel? pxa27x processor family pin listing and signal definitions w8 input ma<5> a3 a3 ma<5> r7 input ma<4> a2 a2 ma<4> p6 input ma<3> a1 a1 ma<3> t8 input ma<2> a0 2 a0 2 ma<2> y3 input ma<1> ? ? ma<1> w3 input ma<0> ? ? ma<0> y8 input nf_rst f-rst# f-rst# ? w10 supply f_vpp f-vpp f-vpp ? n1/ t2/ v5/ v7/ v8/ v9/ v10/ v11/ v12/ v14/ v16/ v17/ r19/ n20/ p20/ r1/ y6/ y7/ y10/ y11/ y12/ y13/ y16/ y20/ supply vcc_mem vccq vccq vcc_mem u19/ y2/ n2/ n18/ p18/ t1/ u5/ u7/ u8/ u9/ u10/ u11/ u12/ u14/ u16/ w11/ y5/ y9/ y17 supply vss_mem vssq vssq vss_mem table 4-2. 2x256 mbit(x32) (x16 each die) flash - 1.8v (sheet 3 of 4) ball# type ball name flash die 1(l18) 2 flash die 2(l18) 2 pxa272
electrical, mechanical, and thermal specification 4-13 intel? pxa27x processor family pin listing and signal definitions 4.2.3 pxa273 processor (2x128 mbit(x32) (x16 each die) flash - 1.8v) table 4-3 lists the ball names and position of the 2x128mbit (x32) 1.8v pxa273 processor memory-specific balls and shows where they connect internally to the pxa273 processor and flash. use with table 4-4 for complete ball-out information. a18/ a19/ a20/ b20/ c20/ c15/ d19 supply vss vss vss vss w9/ w12/ w13/ w16/ y15/ y18 rfu rfu ? ? ? y14 input nf_wp<1> 1 ??? notes: 1. nf_wp<1> is reserved for a stacked data flash memory write protect pin (not yet available in current pxa27x processor configurations), in the top package. 2. address signals within this table align with those found in the discrete flash datasheet. address signals in the stacked datasheet are shifted by one for 16-bit flash and by two for 32-bit flash to align the stack ballout for processor/system design. table 4-2. 2x256 mbit(x32) (x16 each die) flash - 1.8v (sheet 4 of 4) ball# type ball name flash die 1(l18) 2 flash die 2(l18) 2 pxa272
4-14 electrical, mechanical, and thermal specification intel? pxa27x processor family pin listing and signal definitions 4.3 pin use table 4-3. 2x128mbit(x32) (x16 each die) flash - 1.8v (sheet 1 of 4) ball# type ball name flash die 1(l18) 2 flash die 2(l18) 2 pxa273 w1 input ncs<0> f1-ce# f1-ce# ncs<0> w20 input nwe we# we# nwe w19 input noe oe# oe# noe u20 input nsdcas adv# adv# nsdcas v19 input sdclk<3> f-clk f-clk sdclk<3> w15 input nf_wp<0> f-wp1# f-wp1# ? m5 bidirectional md<31> ? d15 md<31> l5 bidirectional md<30> ? d14 md<30> l6 bidirectional md<29> ? d13 md<29> t9 bidirectional md<28> ? d12 md<28> t10 bidirectional md<27> ? d11 md<27> r11 bidirectional md<26> ? d10 md<26> r12 bidirectional md<25> ? d9 md<25> u13 bidirectional md<24> ? d8 md<24> p15 bidirectional md<23> ? d7 md<23> r15 bidirectional md<22> ? d6 md<22> n15 bidirectional md<21> ? d5 md<21> w14 bidirectional md<20> ? d4 md<20> u15 bidirectional md<19> ? d3 md<19> t16 bidirectional md<18> ? d2 md<18> n16 bidirectional md<17> ? d1 md<17> n17 bidirectional md<16> ? d0 md<16> n6 bidirectional md<15> d15 ? md<15> m6 bidirectional md<14> d14 ? md<14> r8 bidirectional md<13> d13 ? md<13> r9 bidirectional md<12> d12 ? md<12> r10 bidirectional md<11> d11 ? md<11> t11 bidirectional md<10> d10 ? md<10> t12 bidirectional md<9> d9 ? md<9> r14 bidirectional md<8> d8 ? md<8> v13 bidirectional md<7> d7 ? md<7> t14 bidirectional md<6> d6 ? md<6> m15 bidirectional md<5> d5 ? md<5> m16 bidirectional md<4> d4 ? md<4> v15 bidirectional md<3> d3 ? md<3> p16 bidirectional md<2> d2 ? md<2>
electrical, mechanical, and thermal specification 4-15 intel? pxa27x processor family pin listing and signal definitions m17 bidirectional md<1> d1 ? md<1> u17 bidirectional md<0> d0 ? md<0> v2 input ma<25> ? ? ma<25> w2 input ma<24> a22 a22 ma<24> w4 input ma<23> a21 a21 ma<23> y4 input ma<22> a20 a20 ma<22> w5 input ma<21> a19 a19 ma<21> t4 input ma<20> a18 a18 ma<20> r4 input ma<19> a17 a17 ma<19> p2 input ma<18> a16 a16 ma<18> w6 input ma<17> a15 a15 ma<17> t5 input ma<16> a14 a14 ma<16> r5 input ma<15> a13 a13 ma<15> v6 input ma<14> a12 a12 ma<14> u6 input ma<13> a11 a11 ma<13> t6 input ma<12> a10 a10 ma<12> w7 input ma<11> a9 a9 ma<11> p4 input ma<10> a8 a8 ma<10> p5 input ma<9> a7 a7 ma<9> t7 input ma<8> a6 a6 ma<8> r6 input ma<7> a5 a5 ma<7> n5 input ma<6> a4 a4 ma<6> w8 input ma<5> a3 a3 ma<5> r7 input ma<4> a2 a2 ma<4> p6 input ma<3> a1 a1 ma<3> t8 input ma<2> a0 2 a0 2 ma<2> y3 input ma<1> ? ? ma<1> w3 input ma<0> ? ? ma<0> y8 input nf_rst f-rst# f-rst# ? w10 supply f_vpp f-vpp f-vpp ? table 4-3. 2x128mbit(x32) (x16 each die) flash - 1.8v (sheet 2 of 4) ball# type ball name flash die 1(l18) 2 flash die 2(l18) 2 pxa273
4-16 electrical, mechanical, and thermal specification intel? pxa27x processor family pin listing and signal definitions n1/ t2/ v5/ v7/ v8/ v9/ v10/ v11/ v12/ v14/ v16/ v17/ r19/ n20/ p20/ r1/ y6/ y7/ y10/ y11/ y12/ y13/ y16/ y20/ supply vcc_mem vccq vccq vcc_mem u19/ y2/ n2/ n18/ p18/ t1/ u5/ u7/ u8/ u9/ u10/ u11/ u12/ u14/ u16/ w11/ y5/ y9/ y17 supply vss_mem vssq vssq vss_mem a18/ a19/ a20/ b20/ c20/ c15/ d19 supply vss vss vss vss table 4-3. 2x128mbit(x32) (x16 each die) flash - 1.8v (sheet 3 of 4) ball# type ball name flash die 1(l18) 2 flash die 2(l18) 2 pxa273
electrical, mechanical, and thermal specification 4-17 intel? pxa27x processor family pin listing and signal definitions 4.4 pin usage table 4-4 shows the intel pxa27x processor pin-usage summary. table 4-4 does not include any of the intel pxa27x flash and sdram dedicated signals. review table 4-1 , table 4-2 , and table 4-3 for ball location and mapping of memory signals. each signal?s alternate function inputs are shown in the upper section of each signal row and the outputs are shown in the lower section of each signal row. for example, gpio<48> has a primary input function of cif_dd<5> and a secondary output function of npoe. w9/ w12/ w13/ w16/ y15/ y18 rfu rfu ? ? ? y14 input nf_wp<1> 1 ??? notes: 1. nf_wp<1> is reserved for a stacked data flash memory write protect pin (not yet available in current pxa27x processor configurations), in the top package. 2. address signals within this table align with those found in the discrete flash datasheet. address signals in the stacked datasheet are shifted by one for 16-bit flash and by two for 32-bit flash to align the stack ballout for processor/system design. table 4-3. 2x128mbit(x32) (x16 each die) flash - 1.8v (sheet 4 of 4) ball# type ball name flash die 1(l18) 2 flash die 2(l18) 2 pxa273 table 4-4. scsp pin usage summary (sheet 1 of 14) ball# name type function after reset primary function secondary alternate function third alternate function reset state sleep state vcc_mem v2 ma<25> ocz ma<25> ma<25> ? ? note[19] note[19] w2 ma<24> ocz ma<24> ma<24> ? ? note[19] note[19] w4 ma<23> ocz ma<23> ma<23> ? ? note[19] note[19] y4 ma<22> ocz ma<22> ma<22> ? ? note[19] note[19] w5 ma<21> ocz ma<21> ma<21> ? ? note[19] note[19] t4 ma<20> ocz ma<20> ma<20> ? ? note[19] note[19] r4 ma<19> ocz ma<19> ma<19> ? ? note[19] note[19] p2 ma<18> ocz ma<18> ma<18> ? ? note[19] note[19] w6 ma<17> ocz ma<17> ma<17> ? ? note[19] note[19] t5 ma<16> ocz ma<16> ma<16> ? ? note[19] note[19] r5 ma<15> ocz ma<15> ma<15> ? ? note[19] note[19] v6 ma<14> ocz ma<14> ma<14> ? ? note[19] note[19] u6 ma<13> ocz ma<13> ma<13> ? ? note[19] note[19] t6 ma<12> ocz ma<12> ma<12> ? ? note[19] note[19]
4-18 electrical, mechanical, and thermal specification intel? pxa27x processor family pin listing and signal definitions w7 ma<11> ocz ma<11> ma<11> ? ? note[19] note[19] p4 ma<10> ocz ma<10> ma<10> ? ? note[19] note[19] p5 ma<9> ocz ma<9> ma<9> ? ? note[19] note[19] t7 ma<8> ocz ma<8> ma<8> ? ? note[19] note[19] r6 ma<7> ocz ma<7> ma<7> ? ? note[19] note[19] n5 ma<6> ocz ma<6> ma<6> ? ? note[19] note[19] w8 ma<5> ocz ma<5> ma<5> ? ? note[19] note[19] r7 ma<4> ocz ma<4> ma<4> ? ? note[19] note[19] p6 ma<3> ocz ma<3> ma<3> ? ? note[19] note[19] t8 ma<2> ocz ma<2> ma<2> ? ? note[19] note[19] y3 ma<1> ocz ma<1> ma<1> ? ? note[19] note[19] w3 ma<0> ocz ma<0> ma<0> ? ? note[19] note[19] m5 md<31> icoc z md<31> md<31> ? ? note[19] note[19] l5 md<30> icoc z md<30> md<30> ? ? note[19] note[19] l6 md<29> icoc z md<29> md<29> ? ? note[19] note[19] t9 md<28> icoc z md<28> md<28> ? ? note[19] note[19] t10 md<27> icoc z md<27> md<27> ? ? note[19] note[19] r11 md<26> icoc z md<26> md<26> ? ? note[19] note[19] r12 md<25> icoc z md<25> md<25> ? ? note[19] note[19] u13 md<24> icoc z md<24> md<24> ? ? note[19] note[19] p15 md<23> icoc z md<23> md<23> ? ? note[19] note[19] r15 md<22> icoc z md<22> md<22> ? ? note[19] note[19] n15 md<21> icoc z md<21> md<21> ? ? note[19] note[19] w14 md<20> icoc z md<20> md<20> ? ? note[19] note[19] u15 md<19> icoc z md<19> md<19> ? ? note[19] note[19] t16 md<18> icoc z md<18> md<18> ? ? note[19] note[19] n16 md<17> icoc z md<17> md<17> ? ? note[19] note[19] table 4-4. scsp pin usage summary (sheet 2 of 14) ball# name type function after reset primary function secondary alternate function third alternate function reset state sleep state
electrical, mechanical, and thermal specification 4-19 intel? pxa27x processor family pin listing and signal definitions n17 md<16> icoc z md<16> md<16> ? ? note[19] note[19] n6 md<15> icoc z md<15> md<15> ? ? note[19] note[19] m6 md<14> icoc z md<14> md<14> ? ? note[19] note[19] r8 md<13> icoc z md<13> md<13> ? ? note[19] note[19] r9 md<12> icoc z md<12> md<12> ? ? note[19] note[19] r10 md<11> icoc z md<11> md<11> ? ? note[19] note[19] t11 md<10> icoc z md<10> md<10> ? ? note[19] note[19] t12 md<9> icoc z md<9> md<9> ? ? note[19] note[19] r14 md<8> icoc z md<8> md<8> ? ? note[19] note[19] v13 md<7> icoc z md<7> md<7> ? ? note[19] note[19] t14 md<6> icoc z md<6> md<6> ? ? note[19] note[19] m15 md<5> icoc z md<5> md<5> ? ? note[19] note[19] m16 md<4> icoc z md<4> md<4> ? ? note[19] note[19] v15 md<3> icoc z md<3> md<3> ? ? note[19] note[19] p16 md<2> icoc z md<2> md<2> ? ? note[19] note[19] m17 md<1> icoc z md<1> md<1> ? ? note[19] note[19] u17 md<0> icoc z md<0> md<0> ? ? note[19] note[19] w19 noe ocz noe noe ? ? note[19] note[19] w20 nwe ocz nwe nwe ? ? note[19] note[19] y19 nsdras ocz nsdras nsdras ? ? note[19] note[19] u20 nsdcas ocz nsdcas nsdcas ? ? note[19] note[19] w18 dqm<0> ocz dqm<0> dqm<0> ? ? note[19] note[19] p17 dqm<1> ocz dqm<1> dqm<1> ? ? note[19] note[19] t17 dqm<2> ocz dqm<2> dqm<2> ? ? note[19] note[19] w17 dqm<3> ocz dqm<3> dqm<3> ? ? note[19] note[19] v18 nsdcs<0> ocz nsdcs<0> nsdcs<0> ? ? note[19] note[19] table 4-4. scsp pin usage summary (sheet 3 of 14) ball# name type function after reset primary function secondary alternate function third alternate function reset state sleep state
4-20 electrical, mechanical, and thermal specification intel? pxa27x processor family pin listing and signal definitions r17 nsdcs<1> oc nsdcs<1> nsdcs<1> ? ? note[19] note[19] t18 sdcke oc sdcke sdcke ? ? note[19] note[19] v20 sdclk<0> oc sdclk<0> sdclk<0> ? ? note[19] note[19] p19 sdclk<1> ocz sdclk<1> sdclk<1> ? ? note[19] note[19] t19 sdclk<2> oc sdclk<2> sdclk<2> ? ? note[19] note[19] v19 sdclk<3> oc sdclk<3> sdclk<3> ? ? note[19] note[19] m4 rdnwr ocz rdnwr rdnwr ? ? note[19] note[19] w1 ncs<0> ocz ncs<0> ncs<0> ? ? note[19] note[19] v1 gpio<15> icoc z gpio<15> ??? pu-1 note[1] note[4] npce<1> ncs<1> note[19] ? m2 gpio<18> icoc z gpio<18> rdy ? ? pd-0 note[1] note [3] ??? t20 gpio<20> icoc z gpio<20> dreq<0> mbreq ? pu-1 note[1] note[3] nsdcs<2> note[19] ?? r18 gpio<21> icoc z gpio<21> ??? pu-1 note[1] note[3] nsdcs<3> note[19] dval<0> mbgnt t3 gpio<33> icoc z gpio<33> ffrxd ffdsr ? pu-1 note[1] note [4] dval<1> ncs<5> note[19] mbgnt m1 gpio<49> icoc z gpio<49> ??? pu-1 note[1] note [5] ? npwe note[19] ? v4 gpio<78> icoc z gpio<78> ??? pu-1 note[1] note[4] npce<2> ncs<2> note[19] ? p3 gpio<79> icoc z gpio<79> ??? pu-1 note[1] note[4] psktsel ncs<3> note[19] pwm_out< 2> p1 gpio<80> icoc z gpio<80> dreq<1> mbreq ? pu-1 note[1] note[4] ? ncs<4> note[19] pwm_out< 3> vcc_bb l18 gpio<48> icoc z gpio<48> cif_dd<5> ? ? pu-1 note[1] note [5] bb_ob_dat<1> npoe note[19] ? table 4-4. scsp pin usage summary (sheet 4 of 14) ball# name type function after reset primary function secondary alternate function third alternate function reset state sleep state
electrical, mechanical, and thermal specification 4-21 intel? pxa27x processor family pin listing and signal definitions k17 gpio<50> icoc z gpio<50> cif_dd<3> ? sspsclk<2 > pu-1 note[1] note [5] bb_ob_dat<2> npioir note[19] sspsclk<2 > j15 gpio<51> icoc z gpio<51> cif_dd<2> ? ? pu-1 note[1] note [5] bb_ob_dat<3> npioiw note[19] ? k18 gpio<52> icoc z gpio<52> cif_dd<4> sspsclk<3> ? pd-0 note[1] note [3] bb_ob_clk sspsclk<3> ? k19 gpio<53> icoc z gpio<53> ffrxd usb_p2_3 ? pd-0 note[1] note [3] bb_ob_stb cif_mclk sspsysclk k20 gpio<54> icoc z gpio<54> ?bb_ob_waitcif_pclk pd-0 note[1] note [3] npce<2> ? l16 gpio<55> icoc z gpio<55> cif_dd<1> bb_ib_dat<1> ? pu-1 note[1] note [5] ?npreg ? m18 gpio<56> icoc z gpio<56> npwait bb_ib_dat<2> ? pu-1 note[1] note [5] usb_p3_4 ? ? l17 gpio<57> icoc z gpio<57> niois16 bb_ib_dat<3> ? pu-1 note[1] note [5] ? ? ssptxd k15 gpio<81> icoc z gpio<81> ? cif_dd<0> ? pu-1 note[1] note [3] ssptxd3 bb_ob_dat<0> ? n19 gpio<82> icoc z gpio<82> ssprxd3 bb_ib_dat<0> cif_dd<5> pu-1 note[1] note [3] ? ? ffdtr m19 gpio<83> icoc z gpio<83> sspsfrm3 bb_ib_clk cif_dd<4> pd-0 note[1]] note [3] sspsfrm3 fftxd ffrts m20 gpio<84> icoc z gpio<84> sspsclk3 bb_ib_stb cif_fv pd-0 note[1] note [3] sspsclk3 ? cif_fv l15 gpio<85> icoc z gpio<85> ffrxd dreq<2> cif_lv pd-0 note[1] note [3] npce<1> bb_ib_wait cif_lv vcc_lcd b12 gpio<14> icoc z gpio<14> l_vsync sspsfrm2 ? pd-0 note[1] note [3] ? sspsfrm2 uclk f14 gpio<19> icoc z gpio<19> sspsclk2 ? ffrxd pd-0 note[1] note [3] sspsclk2 l_cs nurst d7 gpio<58> icoc z gpio<58> ?ldd<0>? pd-0 note[1] note [3] ? ldd<0> ? table 4-4. scsp pin usage summary (sheet 5 of 14) ball# name type function after reset primary function secondary alternate function third alternate function reset state sleep state
4-22 electrical, mechanical, and thermal specification intel? pxa27x processor family pin listing and signal definitions d8 gpio<59> icoc z gpio<59> ?ldd<1>? pd-0 note[1] note [3] ?ldd<1>? f8 gpio<60> icoc z gpio<60> ?ldd<2>? pd-0 note[1] note [3] ?ldd<2>? a8 gpio<61> icoc z gpio<61> ?ldd<3>? pd-0 note[1] note [3] ?ldd<3>? e8 gpio<62> icoc z gpio<62> ?ldd<4>? pd-0 note[1] note [3] ?ldd<4>? c8 gpio<63> icoc z gpio<63> ?ldd<5>? pd-0 note[1] note [3] ?ldd<5>? c9 gpio<64> icoc z gpio<64> ?ldd<6>? pd-0 note[1] note [3] ?ldd<6>? a9 gpio<65> icoc z gpio<65> ?ldd<7>? pd-0 note[1] note [3] ?ldd<7>? e9 gpio<66> icoc z gpio<66> ?ldd<8>? pd-0 note[1] note [3] ?ldd<8>? f10 gpio<67> icoc z gpio<67> ?ldd<9>? pd-0 note[1] note [3] ?ldd<9>? d10 gpio<68> icoc z gpio<68> ?ldd<10>? pd-0 note[1] note [3] ?ldd<10>? c10 gpio<69> icoc z gpio<69> ?ldd<11>? pd-0 note[1] note [3] ?ldd<11>? b10 gpio<70> icoc z gpio<70> ?ldd<12>? pd-0 note[1] note [3] ?ldd<12>? a10 gpio<71> icoc z gpio<71> ?ldd<13>? pd-0 note[1] note [3] ?ldd<13>? e11 gpio<72> icoc z gpio<72> ?ldd<14>? pd-0 note[1] note [3] ?ldd<14>? f12 gpio<73> icoc z gpio<73> ?ldd<15>? pd-0 note[1] note [3] ?ldd<15>? e13 gpio<74> icoc z gpio<74> ??? pd-0 note[1] note [3] ? l_fclk_rd ? c12 gpio<75> icoc z gpio<75> ??? pd-0 note[1] note [3] ? l_lclk _a0 ? d12 gpio<76> icoc z gpio<76> ??? pd-0 note[1] note [3] ?l_pclk_wr? table 4-4. scsp pin usage summary (sheet 6 of 14) ball# name type function after reset primary function secondary alternate function third alternate function reset state sleep state
electrical, mechanical, and thermal specification 4-23 intel? pxa27x processor family pin listing and signal definitions d13 gpio<77> icoc z gpio<77> ??? pd-0 note[1] note [3] ? l_bias ? c11 gpio<86> icoc z gpio<86> ssprxd2 ldd<16> usb_p3_5 pd-0 note[1] note [3] npce<1> ldd<16> ? d11 gpio<87> icoc z gpio<87> npce<2> ldd<17> usb_p3_1 pd-0 note[1] note [3] ssptxd2 ldd<17> sspsfrm2 vcc_io j6 gpio<11> icoc z gpio<11> ext_sync<0> ssprxd2 usb_p3_1 pd-0 note[1] note [3], note[11 chout<0> pwm_out2 48_mhz l3 gpio<12> icoc z gpio<12> ext_sync<1> cif_dd<7> ? pd-0 note[1] note [3], note[11] chout<1> pwm_out3 48_mhz l4 gpio<13> icoc z gpio<13> clk_ext kp_dkin<7> kp_mkin<7 > pd-0 note[1] note [3], note[11] ssptxd2 ? ? e6 gpio<16> icoc z gpio<16> kp_mkin<5> ? ? pd-0 note[1] note [3] ? pwm_out<0> fftxd g3 gpio<17> icoc z gpio<17> kp_mkin<6> cif_dd<6> ? pd-0 note[1] note [3] ?pwm_out<1>? f7 gpio<22> icoc z gpio<22> sspextclk2 sspsclken2 sspsclk2 pd-0 note[1] note [3] kp_mkout<7> sspsysclk2 sspsclk2 h1 gpio<23> icoc z gpio<23> ? sspsclk ? pd-0 note[1] note [3] cif_mclk sspsclk ? h2 gpio<24> icoc z gpio<24> cif_fv sspsfrm ? pd-0 note[1] note [3] cif_fv sspsfrm ? f6 gpio<25> icoc z gpio<25> cif_lv ? ? pd-0 note[1] note [3] cif_lv ssptxd ? h3 gpio<26> icoc z gpio<26> ssprxd cif_pclk ffcts pd-0 note[1] note [3] ??? h4 gpio<27> icoc z gpio<27> sspextclk sspsclken cif_dd<0> pd-0 note[1] note [3] sspsysclk ? ffrts k1 gpio<28> icoc z gpio<28> ac97_bitclk i2s_bitclk sspsfrm pd-0 note[1] note [3] i2s_bitclk ? sspsfrm k2 gpio<29> icoc z gpio<29> ac97_sdata_in_ 0 i2s_sdata_in sspsclk pd-0 note[1] note [3] ssprxd2 ? sspsclk g6 gpio<30> icoc z gpio<30> ??? pd-0 note[1] note [3] i2s_sdata_out ac97_sdata_ou t usb_p3_2 table 4-4. scsp pin usage summary (sheet 7 of 14) ball# name type function after reset primary function secondary alternate function third alternate function reset state sleep state
4-24 electrical, mechanical, and thermal specification intel? pxa27x processor family pin listing and signal definitions j4 gpio<31> icoc z gpio<31> ??? pd-0 note[1] note [3] i2s_sync ac97_sync usb_p3_6 f3 gpio<32> icoc z gpio<32> ??? pd-0 note[1] note [3] mssclk mmclk ? f2 gpio<34> icoc z gpio<34> ffrxd kp_mkin<3> sspsclk3 pd-0 note[1] note [3] usb_p2_2 ? sspsclk3 e3 gpio<35> icoc z gpio<35> ffcts usb_p2_1 sspsfrm3 pd-0 note[1] note [3] ? kp_mkout<6> ssptxd3 g4 gpio<36> icoc z gpio<36> ffdcd sspsclk2 kp_mkin<7 > pd-0 note[1] note [3] usb_p2_4 sspsclk2 ? g5 gpio<37> icoc z gpio<37> ffdsr sspsfrm2 kp_mkin<3 > pd-0 note[1] note [3] usb_p2_8 sspsfrm2 fftxd h5 gpio<38> icoc z gpio<38> ffri kp_mkin<4> usb_p2_3 pd-0 note[1] note [3] ssptxd3 ssptxd2 pwm_out< 1> d4 gpio<39> icoc z gpio<39> kp_mkin<4> ? sspsfrm3 pd-0 note[1] note [3] usb_p2_6 fftxd sspsfrm3 j3 gpio<40> icoc z gpio<40> ssprxd2 ? usb_p2_5 pd-0 note[1] note [3] kp_mkout<6> ffdtr sspsclk3 d5 gpio<41> icoc z gpio<41> ffrxd usb_p2_7 ssprxd3 pd-0 note[1] note [3] kp_mkout<7> ffrts ? a4 gpio<42> icoc z gpio<42> btrxd icp_rxd ? pd-0 note[1] note [3] ??cif_mclk b4 gpio<43> icoc z gpio<43> ? ? cif_fv pd-0 note[1] note [3] icp_txd bttxd cif_fv d3 gpio<44> icoc z gpio<44> btcts ? cif_lv pd-0 note[1] note [3] ??cif_lv f1 gpio<45> icoc z gpio<45> ??cif_pclk pd-0 note[1] note [3] ac97_sysclk btrts sspsysclk 3 h6 gpio<46> icoc z gpio<46> icp_rxd std_rxd ? pd-0 note[1] note [3] ?pwm_out<2>? k5 gpio<47> icoc z gpio<47> cif_dd<0> ? ? pd-0 note[1] note [3] std_txd icp_txd pwm_out< 3> table 4-4. scsp pin usage summary (sheet 8 of 14) ball# name type function after reset primary function secondary alternate function third alternate function reset state sleep state
electrical, mechanical, and thermal specification 4-25 intel? pxa27x processor family pin listing and signal definitions b3 gpio<88> icoc z gpio<88> usbhpwr<1> ssprxd2 sspsfrm2 pd-0 note[1] note [3] ? ? sspsfrm2 a3 gpio<89> icoc z gpio<89> ssprxd3 ? ffri pd-0 note[1] note [3] ac97_sysclk usbhpen<1> ssptxd2 g2 gpio<92> icoc z gpio<92> mmdat<0> ? ? pd-0 note[1] note [3] mmdat<0> msbs ? g19 gpio<93> icoc z gpio<93> kp_dkin<0> cif_dd<6> ? pd-0 note[1] note [3] ac97_sdata_ou t ?? f16 gpio<94> icoc z gpio<94> kp_dkin<1> cif_dd<5> ? pd-0 note[1] note [3] ac97_sync ? ? g20 gpio<95> icoc z gpio<95> kp_dkin<2> cif_dd<4> kp_mkin<6 > pd-0 note[1] note [3] ac97_reset_n ? ? f17 gpio<96> icoc z gpio<96> kp_dkin<3> mbreq ffrxd pd-0 note[1] note [3] dval<1> kp_mkout <6> f15 gpio<97> icoc z gpio<97> kp_dkin<4> dreq<1> kp_mkin<3 > pd-0 note[1] note [3] ?mbgnt? g18 gpio<98> icoc z gpio<98> kp_dkin<5> cif_dd<0> kp_mkin<4 > pd-0 note [3] ac97_sysclk ? ffrts g17 gpio<99> icoc z gpio<99> kp_dkin<6> ac97_sdata_in_ 1 kp_mkin<5 > pd-0 note [3] ? ? fftxd g16 gpio<100> icoc z gpio<100> kp_mkin<0> dreq<2> ffcts pd-0 note[1] note [3] ??? h18 gpio<101> icoc z gpio<101> kp_mkin<1> ? ? pd-0 note[1] note [3] ??? h19 gpio<102> icoc z gpio<102> kp_mkin<2> ? ffrxd pd-0 note[1] note [3] npce<1> ? ? j20 gpio<103> icoc z gpio<103> cif_dd<3> ? ? pd-0 note[1] note [3] ?kp_mkout<0>? h17 gpio<104> icoc z gpio<104> cif_dd<2> ? ? pd-0 note[1] note [3] psktsel kp_mkout<1> ? j18 gpio<105> icoc z gpio<105> cif_dd<1> ? ? pd-0 note[1] note [3] npce<2> kp_mkout<2> ? table 4-4. scsp pin usage summary (sheet 9 of 14) ball# name type function after reset primary function secondary alternate function third alternate function reset state sleep state
4-26 electrical, mechanical, and thermal specification intel? pxa27x processor family pin listing and signal definitions h16 gpio<106> icoc z gpio<106> cif_dd<9> ? ? pd-0 note[1] note [3] ? kp_mkout<3> ? j17 gpio<107> icoc z gpio<107> cif_dd<8> ? ? pd-0 note[1] note [3] ? kp_mkout<4> ? j16 gpio<108> icoc z gpio<108> cif_dd<7> ? ? pd-0 note[1] note [3] chout<0> kp_mkout<5> ? e5 gpio<109> icoc z gpio<109> mmdat<1> mssdio ? pd-0 note[1] note [3] mmdat<1> mssdio ? f4 gpio<110> icoc z gpio<110> mmdat<2>/ mmccs<0> ?? pd-0 note[1] note [3] mmdat<2>/ mmccs<0> ?? f5 gpio<111> icoc z gpio<111> mmdat<3>/ mmccs<1> ?? pd-0 note[1] note [3] mmdat<3>/ mmccs<1> ?? g1 gpio<112> icoc z gpio<112> mmcmd nmsins ? pd-0 note[1] note [3] mmcmd ? ? k4 gpio<113> icoc z gpio<113> ? ? usb_p3_3 pd-0 note[1] note [3] i2s_sysclk ac97_reset_n ? c5 gpio<114> note [17] icoc z gpio<114> note [17] cifdd_<1> ? ? pd-0 note[1] note [3] uvs0 ? b5 gpio<115> note [17] icoc z gpio<115> note [17] dreq<0> cif_dd<3> mbreq pu-1 note[1] note [3] uen nuvs1 pwm_out< 1> c4 gpio<116> icoc z gpio<116> cif_dd<2> ac97_sdata_in_ 0 udet pu-1 note[1] note [3] dval<0> nuvs2 mbgnt e2 gpio<117> icoc z gpio<117> scl ? ? pu-1 note[1] note [3], note[12] scl ? ? b1 gpio<118> icoc z gpio<118> sda ? ? pu-1 note[1] note [3], note[12] sda ? ? b2 gpio<119> icoc z gpio<119> usbhpwr<2> ? ? pd-0 note[1] note [3] ??? c3 gpio<120> icoc z gpio<120> ??? pd-0 note[1] note [3] ?usbhpen<2>? vcc_usb d2 usbc_p iaoaz usbc_p usbc_p ? ? hi-z hi-z c2 usbc_n iaoaz usbc_n usbc_n ? ? hi-z hi-z table 4-4. scsp pin usage summary (sheet 10 of 14) ball# name type function after reset primary function secondary alternate function third alternate function reset state sleep state
electrical, mechanical, and thermal specification 4-27 intel? pxa27x processor family pin listing and signal definitions a6 usbh_p<1> iaoaz usbh_p<1> usbh_p<1> ? ? hi-z hi-z a5 usbh_n<1> iaoaz usbh_n<1> usbh_n<1> ? ? hi-z hi-z vcc_usim a7 gpio<90> icoc z gpio<90> kp_mkin<5> usb_p3_5 cif_dd<4> pd-0 note[1] note [3] ?nurst? c7 gpio<91> icoc z gpio<91> kp_mkin<6> usb_p3_1 cif_dd<5> pd-0 note[1] note [3] ?uclk? b6 uio icoc z uio uio ? ? driven low hi-z vcc_reg a15 gpio<0> icoc z gpio<0> gpio<0> ? ? pd-0 note[1] note [3] d16 gpio<1> icoc z gpio<1> gpio<1> ? ? pu-1 note[1] note [7] c16 gpio<3> icoc z gpio<3> pwr_scl ? ? pu-1 note[1] hi-z b15 gpio<4> icoc z gpio<4> pwr_sda ? ? pu-1 note[1] hi-z a14 gpio<9> note [18] icoc z gpio<9> note [18] ? ? ffcts pd-0 note[1] note [7,18] hz_clk ? chout<0> d15 gpio<10> note [18] icoc z gpio<10> note [18] ffdcd ? usb_p3_5 pd-0 note[1] note [7,18] hz_clk ? chout<1> e15 clk_req icoc z clk_req clk_req ? ? pu-1 note [8] a16 nreset ic nreset nreset ? ? input - note [9] input b19 nreset_ou t oc nreset_ou t nreset_out ? ? low note [8] b18 boot_sel ic boot_sel boot_sel ? ? input input b16 pwr_en oc pwr_en pwr_en ? ? note[16] note [8] c18 nbatt_faul t ic nbatt_faul t nbatt_fault ? ? low input c17 nvdd_faul t ic nvdd_fault nvdd_fault ? ? low input d17 sys_en icoc z sys_en sys_en ? ? pu-1 note[1] note [7] c19 pwr_cap<0 > oa ? pwr_cap<0> ? ? pd-0 note[1] note [7] d18 pwr_cap<1 > oa ? pwr_cap<1> ? ? pd-0 note[1] note [7] e18 pwr_cap<2 > oa ? pwr_cap<2> ? ? pd-0 note[1] note [7] table 4-4. scsp pin usage summary (sheet 11 of 14) ball# name type function after reset primary function secondary alternate function third alternate function reset state sleep state
4-28 electrical, mechanical, and thermal specification intel? pxa27x processor family pin listing and signal definitions e16 pwr_cap<3 > oa ? pwr_cap<3> ? ? pu-1 note[1] note [7] c14 ntrst ic ntrst ntrst ? ? input - note [9] input b14 tdi ic tdi tdi ? ? input - note [9] input d14 tdo ocz tdo tdo ? ? hi-z hi-z c13 tms ic tms tms ? ? input - note [9] input e14 tck ic tck tck ? ? input input a13 testclk ic testclk testclk ? ? pd-0 input vcc_osc e19 pxtal_in ia pxtal_in pxtal_in ? ? note[2] note [2] e20 pxtal_out oa pxtal_out pxtal_out ? ? note[2] note [2] b17 txtal_in ia txtal_in txtal_in ? ? note[2] note [2] a17 txtal_out oa txtal_out txtal_out ? ? note[2] note [2] d20 pwr_out oa pwr_out pwr_out ? ? hi-z hi-z vcc_sup e17 vcc_batt ps vcc_batt vcc_batt ? ? input input h20 vcc_io ps vcc_io vcc_io ? ? input input j1 vcc_io ps vcc_io vcc_io ? ? input input l1 vcc_io ps vcc_io vcc_io ? ? input input a1 vcc_usb ps vcc_usb vcc_usb ? ? input input a2 vcc_usb ps vcc_usb vcc_usb ? ? input input d1 vcc_usb ps vcc_usb vcc_usb ? ? input input a11 vcc_lcd ps vcc_lcd0 vcc_lcd ? ? input input b8 vcc_lcd ps vcc_lcd vcc_lcd ? ? input input notes1 vcc_mem ps vcc_mem vcc_mem ? ? input input l20 vcc_bb ps vcc_bb vcc_bb ? ? input input f18 vcc_pll ps vcc_pll vcc_pll ? ? input input m3 vcc_sram ps vcc_sram vcc_sram ? ? input input n3 vcc_sram ps vcc_sram vcc_sram ? ? input input r3 vcc_sram ps vcc_sram vcc_sram ? ? input input u3 vcc_sram ps vcc_sram vcc_sram ? ? input input a12 vcc_core ps vcc_core vcc_core ? ? input input b7 vcc_core ps vcc_core vcc_core ? ? input input b9 vcc_core ps vcc_core vcc_core ? ? input input b11 vcc_core ps vcc_core vcc_core ? ? input input table 4-4. scsp pin usage summary (sheet 12 of 14) ball# name type function after reset primary function secondary alternate function third alternate function reset state sleep state
electrical, mechanical, and thermal specification 4-29 intel? pxa27x processor family pin listing and signal definitions e1 vcc_core ps vcc_core vcc_core ? ? input input j19 vcc_core ps vcc_core vcc_core ? ? input input k3 vcc_core ps vcc_core vcc_core ? ? input input l19 vcc_core ps vcc_core vcc_core ? ? input input r2 vcc_core ps vcc_core vcc_core ? ? input input r20 vcc_core ps vcc_core vcc_core ? ? input input t13 vcc_core ps vcc_core vcc_core ? ? input input t15 vcc_core ps vcc_core vcc_core ? ? input input u1 vcc_core ps vcc_core vcc_core ? ? input input c6 vcc_usim ps vcc_usim vcc_usim ? ? input input notes2 vss ps vss vss ? ? input input d6 vss_io ps vss_io vss_io ? ? input input d9 vss_io ps vss_io vss_io ? ? input input e4 vss_io ps vss_io vss_io ? ? input input e12 vss_io ps vss_io vss_io ? ? input input f20 vss_io ps vss_io vss_io ? ? input input g15 vss_io ps vss_io vss_io ? ? input input j2 vss_io ps vss_io vss_io ? ? input input l2 vss_io ps vss_io vss_io ? ? input input b13 vss_io ps vss_io vss_io ? ? input input notes3 vss_mem ps vss_mem vss_mem ? ? input input k16 vss_bb ps vss_bb vss_bb ? ? input input f19 vss_pll ps vss_pll vss_pll ? ? input input r13 vss_core ps vss_core vss_core ? ? input input r16 vss_core ps vss_core vss_core ? ? input input u2 vss_core ps vss_core vss_core ? ? input input u4 vss_core ps vss_core vss_core ? ? input input u18 vss_core ps vss_core vss_core ? ? input input v3 vss_core ps vss_core vss_core ? ? input input y1 vss_core ps vss_core vss_core ? ? input input c1 vss_core ps vss_core vss_core ? ? input input e7 vss_core ps vss_core vss_core ? ? input input e10 vss_core ps vss_core vss_core ? ? input input f9 vss_core ps vss_core vss_core ? ? input input f11 vss_core ps vss_core vss_core ? ? input input f13 vss_core ps vss_core vss_core ? ? input input table 4-4. scsp pin usage summary (sheet 13 of 14) ball# name type function after reset primary function secondary alternate function third alternate function reset state sleep state
4-30 electrical, mechanical, and thermal specification intel? pxa27x processor family pin listing and signal definitions h15 vss_core ps vss_core vss_core ? ? input input j5 vss_core ps vss_core vss_core ? ? input input k6 vss_core ps vss_core vss_core ? ? input input n4 vss_core ps vss_core vss_core ? ? input input notes: 1. 2. 3. refer to pxa27x processor mapping table for vcc_mem, vss_mem and vss ball location table 4-4. scsp pin usage summary (sheet 14 of 14) ball# name type function after reset primary function secondary alternate function third alternate function reset state sleep state
electrical, mechanical, and thermal specification 4-31 intel? pxa27x processor family pin listing and signal definitions 4.5 signal types table 4-5. pin usage and mapping notes note description [1] gpio reset/deep sleep operation: after any reset is asserted or if the intel pxa27x processor is in deep sleep mode, these pins are configured as gpio inputs by default. the input buffers for these pi ns are disabled to prevent current drain and must be enabled prior to use by clearing the read disable hold bit, pssr[rdh]. until rdh is cleared, each pin is pulled high (pu-1), pulled low (pd-0), or floated (hi-z). [2] crystal oscillator pins: these pins connect the external crystals to the on- chip oscillators and are not affected by either reset or sleep. for more information, see the ?clocks and power? chapter in the intel? pxa27x processor family developer?s manual . [3] gpio sleep operation: during the transition into sleep mode, the configuration of these pins is determined by the corresponding gpio setting. this pin is not driven during sleep if the direction of the pin is selected to be an input. if the direction of the pin is selected as an output, the value contained in the power manager gpio sleep-state register (pgsr0/1/2/3) is driven out onto the pin and held while the is in sleep mode. upon exit from sleep mode, gpios that are configured as outputs continue to hold the st andby, sleep, or deep-sleep state until software clears the peripheral control hold bit, pssr[ph]. software must clear this bit (by writing 0b1 to it) after the peripherals have been fully configured, as described in note[1], but before the process actually uses them. gpios that are configured as inputs immediately after ex iting sleep mode cannot be used until pssr[rdh] is cleared. [4] static memory control pins: during sleep mode, these pins can be programmed either to drive the value in the power manager gpio sleep-state register (pgsr0/1/2/3) or to be plac ed in a hi-z (undriven) state. to select the hi-z state, software must set pcfr[fs]. if fs is not set, these pins fu nction as described in note[3 ] during the transition to sleep mode. [5] pcmcia control pins: during sleep mode, these pins can be programmed either to drive the value in the power manager gpio sleep-state register (pgsr0 /1/2/3) or to be placed in a hi-z (undriven) state. to select the hi-z state, software must set pcfr[fp]. if fp is not set, these pins function as described in note[3] during the transition to sleep mode. [6] (reserved) [7] when the power manager overrides the gpio alternate function, the power manager gpio sleep-state registers (pgsr0/1/2/3) and the pssr[rdh] bit are ignored. pullup and pulldown are disabled immediately after the power manager overrides the gpio function. [8] output functions during sleep mode [9] pull-up always enabled [10] (reserved) [11] pins do not function during sleep mode if the os timer is active [12] pins must be floated by software during sleep mode (floating does not happen automatically) [13] (reserved) [14] (reserved) [15] the pin is three-stateable (hi-z) based on the value of pcfr [fs]. there is no pgsr0/1/2/3 setting associated with the pin because it is not a gpio. [16] pwr_en goes high during reset, between the assertion of the reset pin and the de-assertion of internal reset within the intel pxa27x processor, after sys_en is driven high. [17] gpios 114 and115: the alternate function configuration of these pins is ignored when either pucr[usim114] or pucr[usim115] bits are set. setting these bits forces the usim enable signal onto these gpios. [18] when software sets the oscc[pio_en] or oscc[tout_en] bits, then any gpio alternate function setting applied to gpio<9> or gpio <10> is overridd en with the clk_pio function on gpio<9> and clk_tout on gpio<10>. [19] refer to table 4-7 .
4-32 electrical, mechanical, and thermal specification intel? pxa27x processor family pin listing and signal definitions 4.6 memory controller re set and initialization on reset, the sdram interface is disabled. reset values for the boot rom are determined by boot_sel (see the intel? pxa27x processor family developers manual , memory controller chapter). boot rom is immediately available for reading upon exit from reset, and all memory interface control registers are available for writing. on hardware reset, the memory pins and controller are in the state shown in table 4-7 . table 4-6. signal types type description ic cmos input oc cmos output ocz cmos output, three-stateable icocz cmos bidirectional, three-stateable ia analog input oa analog output iaoa analog bidirectional iaoaz analog bidirectional - three-stateable ps power supply table 4-7. memory controller pin reset values (sheet 1 of 2) pin name reset, sleep, standby, deep-sleep, frequency change, and manual self-refresh mode values sdclk <3 1 :0> 0b000 sdcke 0 dqm <3:0> 0b0000 nsdcs <3:2> gpio (memory controller drives 0b11) ? nsdcs <1:0> 0b11 nwe 1 nsdras 1 nsdcas 1 noe 1 ma <25:0> 0x0000_0000 1 rdnwr 0 md <31:0> 0x0000_0000 2 ncs <0> 1 ncs <5:1> gpio (memory controller drives 0b11111) npioir gpio (memory controller drives high) npioiw gpio (memory controller drives high)
electrical, mechanical, and thermal specification 4-33 intel? pxa27x processor family pin listing and signal definitions the address signals are driven low and data signals are pulled low during sleep, standby, deep- sleep, frequency-change modes, and manual self-refresh. all other memory control signals are in the same state that they are in after a hardware reset. if the sdrams are in self-refresh mode, they are kept there by driving sdcke low. 4.7 power-supply pins table 4-8 summarizes the power-supply ball count. npoe gpio (memory controller drives high) npwe gpio (memory controller drives high) note: ? this indicates that the gpio pin, if configured for the alternate function used by the memory controller during reset, drives the represented value. note: sclk<3> is only available on pxa27x processor family packages 1. ma pins are driven 2. md pins are pulled low table 4-7. memory controller pin reset values (sheet 2 of 2) pin name reset, sleep, standby, deep-sleep, frequency change, and manual self-refresh mode values table 4-8. scsp 1.8v power supply pin summary name number of package balls vcc_batt 1 vcc_io 3 vcc_usb 3 vcc_lcd 2 vcc_mem 24 vcc_bb 1 vcc_pll 1 vcc_sram 4 vcc_core 13 vcc_usim 1 vss 7 vss_io 9 vss_mem 19 vss_bb 1 vss_pll 1 vss_core 17
4-34 electrical, mechanical, and thermal specification intel? pxa27x processor family pin listing and signal definitions
electrical, mechanical, and thermal specification 5-1 electrical specifications 5 5.1 absolute maximum ratings the absolute maximum ratings (shown in table 5-1 ) define limitations for electrical and thermal stresses. these limits prevent permanent damage to the intel? pxa27x processor family (pxa27x processor). note: absolute maximum ratings are not operating ranges. 5.2 operating conditions this section shows operating voltage, frequency, and temperature specifications for the pxa27x processor. table 5-1. absolute maximum ratings symbol description min max units t s storage temperature ?40 125 c v cc_ol1 offset voltage between any of the following pins: vcc_core ?0.3 0.3 v v cc_ol2 offset voltage between any of the following pins: vcc_sram ?0.3 0.3 v v cc_oh1 offset voltage between any of the following pins: vcc_mem ?0.3 0.3 v v cc_oh2 offset voltage between any of the following pins: vcc_io ?0.3 0.3 v v cc_oh3 offset voltage between vcc_lcd<0> and vcc_lcd<1> ?0.3 0.3 v v cc_hv voltage applied to high-voltage supply pins (vcc_bb, vcc_usim, vcc_mem, vcc_io<, vcc_lcd) vss?0.3 vss+4.0 v v cc_lv voltage applied to low-voltage supply pins (vcc_core, vcc_pll, vcc_sram) vss?0.3 vss+1.45 v v ip voltage applied to non-supply pins except pxtal_in, pxtal_out, txtal_in, and txtal_out pins vss?0.3 vss+4.0 v v ip_x voltage applied to xtal pins (pxtal_in, pxtal_out, txtal_in, txtal_out) vss?0.3 vss+1.45 v v esd maximum esd stress voltage, three stresses maximum: ? any pin to any supply pin, either polarity, or ? any pin to all non-supply pins together, either polarity ? 2000 v i eos maximum dc input current (electrical overstress) for any non-supply pin ? 5 ma
5-2 electrical, mechanical, and thermal specification intel? pxa27x processor family electrical specifications table 5-2 shows each power domains supported voltages (except for vcc_mem and vcc_core). table 5-3 shows all of the supported memory voltages and frequency operating ranges (vcc_mem). table note: shows all of the supported core voltage and frequency ranges (vcc_core). the operating temperature specification is a function of voltage and frequency. table 5-2. voltage, temperature, and frequency electrical specifications (sheet 1 of 2) symbol description min typical max units operating temperature tca s e package operating temperature ? -25 ? +85 c theta jc junction-to-case temperature gradient ? 2 ? c / watt vcc_batt voltage vvcc0 voltage applied on vcc_batt @3.0v 2.25 3.00 3.75 v vvdf1 voltage difference between vcc_batt and vcc_io during power-on reset or deep-sleep wake-up (from the assertion of sys_en to the de-assertion of nreset_out) 0 ? 0.30 v vvdf2 voltage difference between vcc_batt and vcc_io when vcc_io is enabled 0 ? 0.20 v tbramp ramp rate ? 10 8 mv/us vcc_pll voltage vvcc1 voltage applied on vcc_pll @1.3v (+10 / -10%) 1.17 1.30 1.43 v tpwrramp ramp rate ? 10 8 mv/us vcc_bb voltages vvcc2a voltage applied on vcc_bb @1.8v (+20 / -5%) 1.71 1.80 2.16 v vvcc2b voltage applied on vcc_bb @2.5v (+10 / -10%) 2.25 2.50 2.75 v vvcc2c voltage applied on vcc_bb @3.0v (+10 / -10%) 2.70 3.0 3.30 v vvcc2d voltage applied on vcc_bb @3.3v (+10 / -10%) 2.97 3.3 3.63 v tsysramp ramp rate ? 10 8 mv/us vcc_lcd voltages vvcc3a voltage applied on vcc_lcd @1.8v (+20 / -5%) 1.71 1.80 2.16 v vvcc3b voltage applied on vcc_lcd @2.5v (+10 / -10%) 2.25 2.50 2.75 v vvcc3c voltage applied on vcc_lcd @3.0v (+10 / -10%) 2.70 3.0 3.30 v vvcc3d voltage applied on vcc_lcd @3.3v (+10 / -10%) 2.97 3.3 3.63 v
electrical, mechanical, and thermal specification 5-3 intel? pxa27x processor family electrical specifications table 5-3 shows the supported memory frequency and memory supply voltage operating ranges for the intel pxa27x processor. note: do not exceed top package specifications. refer to the appropriate top package data sheets for top package specifications. table 5-3. memory voltage and frequency electrical specifications tsysramp ramp rate ? 10 8 mv/us vcc_io voltages vvcc4a voltage applied on vcc_io @3.0v (+10 / -10.3%) 2.69175 3.0 3.30 v vvcc4b voltage applied on vcc_io @3.3v (+10 / -10%) 2.97 3.3 3.63 v tsysramp ramp rate ? 10 8 mv/us note: vcc_io must be maintained at a voltage as high as or higher than, all other supplies except for vcc_batt and vcc_usb vcc_usim voltages vvcc5a voltage applied on vcc_usim @1.8v (+20 / -5%) 1.71 1.80 2.16 v vvcc5b voltage applied on vcc_usim @3.0v (+10 / -10%) 2.70 3.0 3.30 v tsysramp ramp rate ? 10 8 mv/us note: if the system does not use the usim module, vcc_ usim can be tied to vc c_io (at any supported vcc_io voltage level). this allows the gpio?s on vcc_usim to be used at the same voltage level as vcc_io gpio?s. note: software must not configure usim signals to be used if this is done. vcc_sram voltage vvcc6 voltage applied on vcc_sram @1.1v (+10 / -10%) 0.99 1.10 1.21 v tpwrramp ramp rate ? 10 8 mv/us vcc_usb voltage vvcc7a voltage applied on vcc_usb @3.0v (+10 / -10%) 2.70 3.00 3.30 v vvcc7b voltage applied on vcc_usb @3.3v (+10 / -10%) 2.97 3.30 3.63 v tsysramp ramp rate ? 10 8 mv/us ? system design must ensure that the device case temperature is maintained within the specified limits. in some system applications it may be necessary to use external thermal management (for example, a package-mounted heat spreader) or configure the device to limit power consumption and maintain acceptable case temperatures. table 5-2. voltage, temperature, and frequency electrical specifications (sheet 2 of 2) symbol description min typical max units symbol description min typical max units memory voltage and frequency range: vmem1 voltage applied on vcc_mem 1.71 1.80 2.16 v
5-4 electrical, mechanical, and thermal specification intel? pxa27x processor family electrical specifications table 5-4 shows the supported core frequency and core supply voltage operating ranges for the pxa27x processor . each frequency range is specified in the following format: (core frequency/internal system bus frequency/memory controller frequency/sdram frequency) note: refer to the?clocks and power? section of the intel? pxa27x processor family developers manual for supported frequencies, clock register settings as listed in table 5-4 . fsm1a external synchronous memory frequency, sdclk1, sdclk2 13 ? 104 mhz fsm1ba external synchronous memory frequency, sdclk0 13 ? 104 mhz tsysramp ramp rate ? 10 8 mv/us note: refer to chapter 3 for top package id markings table 5-4. core voltage and frequency electrical specifications (sheet 1 of 2) symbol description min typical max units core voltage and frequency range 1 (13/13/13/13) vvccc1 voltage applied on vcc_core 0.8075 0.85 1.595 v fcore1 core operating frequency 13 ? 13 mhz tpwrramp ramp rate ? 10 8 mv/us core voltage and frequency range 2 (91/45.5/91/45.5) and (104/104/104/104) vvccc2 voltage applied on vcc_core 0.855 0.9 1.595 v fcore2 core operating frequency 91 ? 104 mhz tpwrramp ramp rate ? 10 8 mv/us core voltage and frequency range 3 (156/104/104/104) vvccc3 voltage applied on vcc_core 0.95 1.00 1.595 v fcore3 core operating frequency ? 156 ? mhz tpwrramp ramp rate ? 10 8 mv/us core voltage and frequency range 4 (208/208/208/104) vvccc4 voltage applied on vcc_core 1.0925 1.15 1.595 v fcore4 core operating frequency ? 208 ? mhz tpwrramp ramp rate ? 10 8 mv/us core voltage and frequency range 4a (208/104/104/104) vvccc4a voltage applied on vcc_core 0.9975 1.05 1.595 v fcore4a core operating frequency ? 208 ? mhz tpwrramp ramp rate ? 10 8 mv/us core voltage and frequency range 5 (312/208/208/104) vvccc5 voltage applied on vcc_core 1.1875 1.25 1.595 v fcore5 core operating frequency ? 312 ? mhz tpwrramp ramp rate ? 10 8 mv/us core voltage and frequency range 5a (312/104/104/104) vvccc5a voltage applied on vcc_core 0.99 1.1 1.595 v
electrical, mechanical, and thermal specification 5-5 intel? pxa27x processor family electrical specifications the external power supplies are used to generate several internal power domains, which are shown in table 5-5 . refer to the power manager / internal power domain block diagram in the ?clocks and power? section of the intel? pxa27x processor family developers manual for more information on internal power domains. table 5-5. internally generated power domain descriptions table 5-6 shows the recommended core voltage specification for each of the lower power modes. table 5-6. core voltage specifications for lower power modes 5.3 power-consumption specifications power consumption depends on the operating voltage and frequency, peripherals enabled, external switching activity, and external loading. because few systems operate at maximum loading, performance, and voltage, a more optimal system design requires more typical power-consumption fcore5a core operating frequency ? 312 ? mhz tpwrramp ramp rate ? 10 8 mv/us core voltage and frequency range 6 (416/208/208/104) vvccc6 voltage applied on vcc_core 1.2825 1.35 1.595 v fcore6 core operating frequency ? 416 ? mhz tpwrramp ramp rate ? 10 8 mv/us core voltage and frequency range 7 (520/208/208/104) vvccc7 voltage applied on vcc_core 1.3775 1.45 1.595 v fcore7 core operating frequency ? 520 ? mhz tpwrramp ramp rate ? 10 8 mv/us table 5-4. core voltage and frequency electrical specifications (sheet 2 of 2) name units generation to lerance vcc_reg io associated with deep-sleep- active units switched between vcc_batt and vcc_io - vcc_osc oscillator power supplies generated from vcc_reg +/- 30% vcc_rtc rtc and power manager supply switched between vcc_osc and vcc_core - vcc_pi power manager i 2 c supply switched between vcc_osc and vcc_core - vcc_cpu cpu core independent power-down from vcc_core - vcc_per peripheral units independent power-down from vcc_core - vcc_rx particular internal sram unit switched between vcc_osc and vcc_sram - mode description min typical max units standby voltage applied on vcc_core 1.045 1.1 1.21 v deep-idle voltage applied on vcc_core 0.8075 0.85 0.935 v
5-6 electrical, mechanical, and thermal specification intel? pxa27x processor family electrical specifications figures. these values are important when considering battery size and optimizing regulator efficiency. typical systems operate with fewer modules active and at nominal voltage and load. refer to the appropriate top package datasheet for top package power consumption data and the pxa270 processor emts for processor power consumption data. 5.4 dc specification the dc characteristics for each pin include input sense levels, output drive levels, and currents. these parameters can be used to determine maximum dc loading and to determine maximum transition times for a given load. table 5-7 shows the dc operating conditions for the high- and low-strength input, output, and i/o pins. note: vcc_io must be maintained at a voltage as high as or higher than all other supplies except vcc_batt and vcc_usb. 5.5 oscillator electrical specifications the intel pxa27x processor contains two oscillators: a 32.768-khz oscillator and a 13.000-mhz oscillator. each oscillator requires a specific crystal. table 5-7. standard input, output, and i/o pin dc operating conditions symbol description min max unit s testing conditions / notes input dc operating conditions (vcc = 1.8v, 2.5, 3.0, 3.3 typical) vih 1 input high voltage, all standard input and i/o pins, relative to applicable vcc (vcc_io, vcc_mem, vcc_bb, vcc_lcd, or vcc_usim) 0.8 * vcc vcc + 0.1 v ? vil 1 input low voltage, all standard input and i/o pins, relative to applicable vss (vss_io, vss_mem, or vss_bb) and vcc (vcc_io, vcc_mem, vcc_bb, vcc_lcd, or vcc_usim) vss - 0.1 0.2 * vcc v ? os dc overshoot voltage / duration ? +1 v max duration of 4ns us dc undershoot voltage / duration ? -1 v max duration of 4ns output dc operating conditions (v cc = 1.8, 2.5, 3.0, 3.3 typical) voh 1 output high voltage, all standard output and i/ o pins, relative to applicable vcc (vcc_io, vcc_mem, vcc_bb, vcc_lcd, or vcc_usim) vcc - 0.3 vcc v ioh = -4 ma 2 , -3 ma 3 vol 1 output low voltage, all standard output and i/o pins, relative to applicable vss (vss_io, vss_mem, or vss_bb) vss vss + 0.3 v ioh = 4 ma 2 , 3 ma 3 notes: 1. programmable drive strengths set to 0x5 for memory and lcd programmable signals. 2. the current for the high-strength pins are ma<25:0>, md <31:0>, noe, nwe, nsdras, nsdcas, dqm<3:0>, nsdcs<3:0>, sdcke<1>, sdclk<3:0>, rdnwr, ncs<5:0>, and npwe. 3. the current for all other output and i/o pins are low strength.
electrical, mechanical, and thermal specification 5-7 intel? pxa27x processor family electrical specifications 5.5.1 32.768-khz oscillator specifications the 32.768 - khz oscillator is connected between the txtal_in (amplifier input) and txtal_out (amplified output). table 5-8 and table 5-9 list the appropriate 32.768 - khz specifications. to drive the 32.768 - khz crystal pins from an external source: 1. drive the txtal_in pin with a digital signal that has low and high levels as listed in table 5-9 . do not exceed vcc_pll or go below vss_pll by more than 100 mv. the minimum slew rate is 1 volt per 1 s. the maximum current drawn from the external clock source when the clock is at its maximum positive voltage is typically 1 ma. 2. float the txtal_out pin or drive it in complement to the txtal_in pin, with the same voltage level and slew rate. caution: the txtal_in and txtal_out pins must not be driven from an external source if the intel pxa27x processor sleep / deep sleep dc-dc converter is enabled. table 5-8. typical 32.768-khz crystal requirements parameter minimum typical maximum units frequency range ? 32.768 ? khz frequency tolerance ?30 ? +30 ppm frequency stability, parabolic coefficient ? ? ?0.04 ppm/ ( ? ) 2 drive level ? ? 1.0 uw load capacitance (c l )?12.5?pf shunt capacitance (c o )?0.9?pf motional capacitance (c i )?2.1?ff equivalent series resistance (r s ) ? 18 35 k ? insulation resistance at 100 v dc 100 ? ? m ? aging, at operating temperature per year ? ? 3.0 ppm
5-8 electrical, mechanical, and thermal specification intel? pxa27x processor family electrical specifications 5.5.2 13.000-mhz oscillator specifications the 13.000-mhz oscillator is connected between the pxtal_in (amplifier input) and pxtal_out (amplified output). table 5-10 and table 5-11 list the 13.000-mhz specifications. to drive the 13.000-mhz crystal pins from an external source: 1. drive the pxtal_in pin with a digital signal with low and high levels as listed in table 5-11 . do not exceed vcc_pll or go below vss_pll by more than 100 mv. the minimum slew rate is 1 volt / 100 ns. the maximum current drawn from the external clock source when the clock is at its maximum positive voltage typically is 1 ma. 2. float the pxtal_out pin or drive it in complement to the pxtal_in pin, with the same voltage level, slew rate, and input current restrictions. caution: the pxtal_in and pxtal_out pins must not be driven from an external source if the processor sleep / deep sleep dc-dc converter is enabled. table 5-9. typical external 32.768-khz oscillator requirements symbol description min typical max units amplifier specifications vih_x input high voltage, txtal_in 0.99 1.10 1.21 v vil_x input low voltage, txtal_in ?0.10 0.00 0.10 v iin_xt input leakage, txtal_in ? ? 1 a cin_xt input capacitance, txtal_in/ txtal_out ? 18 25 pf ts_xt stabilization time ? ? 10 s board specifications rp_xt parasitic resistance, txtal_in/ txtal_out to any node 20 ? ? m ? cp_xt parasitic capacitance, txtal_in/ txtal_out, total ? ? 5 pf cop_xt parasitic shunt capacitance, txtal_in to txtal_out ? ? 0.4 pf table 5-10. typical 13.000-mhz crystal requirements parameter minimum typical maximum units frequency range 12.997 13.000 13.002 mhz frequency tolerance at 25 c ?50 ? +50 ppm oscillation mode ? fnd ? ? maximum change over temperature range ?50 ? +50 ppm drive level ? 10 100 uw load capacitance (c l )?10?pf maximum series resistance (r s )?50? ? aging per year, at operating temperature ? ? 5.0 ppm
electrical, mechanical, and thermal specification 5-9 intel? pxa27x processor family electrical specifications 5.6 clk_pio and clk_tout specifications clk_pio can be used to drive a buffered version of the pxtal_in oscillator input or can be used as a clock input alternative to pxtal_in. refer to table 5-12 for clk_pio specifications. a buffered and inverted version of the txtal_in oscillator output is driven out on clk_tout. refer to table 5-13 for clk_tout specifications. note: clk_tout and clk_pio are only available when software sets the oscc[pio_en] and oscc[tout_en] bits. table 5-11. typical external 13.000-mhz oscillator requirements symbol description min typical max units amplifier specifications vih_x input high voltage, pxtal_in 0.99 1.10 1.21 v vil_x input low voltage, pxtal_in ?0.10 0.00 0.10 v iin_xp input leakage, pxtal_in ? ? 10 a cin_xp input capacitance, pxtal_in/pxtal_out ? 40 50 pf ts_xp stabilization time ? ? 67.8 ms board specifications rp_xp parasitic resistance, pxtal_in/pxtal_out to any node 20 ? ? m ? cp_xp parasitic capacitance, pxtal_in/pxtal_out, total ? ? 5 pf cop_xp parasitic shunt capacitance, pxtal_in to pxtal_out ? ? 0.4 pf table 5-12. clk_pio specifications parameter specifications frequency 13 mhz frequency accuracy (derived from 13 mhz crystal) +/-200ppm symmetry/duty cycle variation 30/70 to 70/30% at vcc jitter +/-20ps max load capacitance (c l ) 50pf max rise and fall time (tr & tf) 15ns max with 50pf load table 5-13. clk_tout specifications parameter specifications frequency 32khz frequency accuracy (derived from 32 khz crystal) +/-200ppm symmetry/duty cycle variation 30/70 to 70/30% at vcc
5-10 electrical, mechanical, and thermal specification intel? pxa27x processor family electrical specifications 5.7 48 mhz output specifications software may configure gpio<11> or gpio<12> alternate functions to enable the 48 mhz clock output. the 48-mhz output clock is a divided down output generated from the 312-mhz peripheral pll. refer to table 5-14 for the 48 mhz output specifications. refer to section 3 of this document for gpio alternate functions in the pin usage table. jitter +/-20ps max load capacitance (c l )50pf max rise and fall time (tr & tf) 15ns max with 50pf load table 5-13. clk_tout specifications parameter specifications table 5-14. 48 mhz output specifications parameter specifications frequency (derived from 13 mhz crystal) 48 mhz frequency accuracy (derived from 13 mhz crystal) +/-200ppm (maximum) symmetry/duty cycle variation 30/70 to 70/30% at vcc jitter +/-20ps max load capacitance (c l ) 50pf max rise and fall time (tr & tf) 15ns max with 50pf load
electrical, mechanical, and thermal specification 6-1 ac timing specifications 6 a pin?s alternating-current (ac) characteristics include input and output capacitance. these factors determine the loading for external drivers and other load analyses. the ac characteristics also include a derating factor, which indicates how much the ac timings might vary with different loads. note: the timing diagrams in this chapter show bursts that start at 0 and proceed to 3 or 7. however, the least significant address (0) is not always received first during a burst transfer, because the intel pxa27x processor requests the critical word first during burst accesses. table 6-1 shows the ac operating conditions for the high- and low-strength input, output, and i/o pins. all ac specification values are valid for the device?s entire temperature range. 6.1 ac test load specifications figure 6-1 represents the timing reference load used in defining the relevant timing parameters of the part. it is not intended to be either a precise representation of the typical system environment nor a depiction of the actual load presented by a production tester. system designers use ibis or other simulation tools to correlate the timing reference load to system environment. manufacturers correlate to their production test conditions (generally a coaxial transmission line terminated at the tester electronics). table 6-1. standard input, output, and i/o-pin ac operating conditions symbol description min typical max units c in input capacitance, all standard input and i/o pins ? ? 10 pf c out_h output capacitance, all standard high- strength output and i/o pins 20 ? 50 pf td f_h output derating, falling edge on all standard, high-strength output and i/o pins, from 50-pf load. ? tbd ? ns/pf td r_h output derating, rising edge on all standard, high-strength output and i/o pins, from 50-pf load. ? tbd ? ns/pf c out_l output capacitance, all standard low- strength output and i/o pins 20 ? 50 pf td f_l output derating, falling edge on all standard, low-strength output and i/o pins, from 50-pf load. ? tbd ? ns/pf td r_l output derating, rising edge on all standard, low-strength output and i/o pins, from 50-pf load. ? tbd ? ns/pf
6-2 electrical, mechanical, and thermal specification intel? pxa27x processor family ac timing specifications 6.2 reset and power manage r timing specifications the processor asserts the nreset_out pin in one of several different modes: ? power-on reset ? hardware reset ? watchdog reset ? gpio reset ? sleep mode ? deep-sleep mode the following sections give the timing and specifications for entry into and exit from these modes. 6.2.1 power-on timing specifications power-on reset begins when a power supply is detected on the backup battery pin, vcc_batt, after the processor has been powered off. a power-on reset is equivalent to a hardware reset, in that all units are reset to the same known state as with a hardware reset. a power-on reset is a complete and total reset that occurs only at initial power on. the external power-supply system must enable the power supplies for the processor in a specific sequence to ensure proper operation. figure 6-2 shows the timing diagram for a power-on reset sequence. table 6-2 details the timing. the sequence for power-on reset is as follows: 1. vcc_batt is established, then nreset should be de-asserted to initiate power-on reset. 2. pwr_out is asserted. the processor asserts nreset_out. 3. the external power-control subsystem de-asserts nbatt_fault to signal that the main battery is connected and not discharged. 4. the processor asserts the sys_en signal to enable the power supplies vcc_io, vcc_mem, vcc_bb, vcc_usb, and vcc_lcd. vcc_usim can be established at this time also but can be independently controlled through its own control signals. vcc_io must be established first. the other supplies can turn on in any order, but they must all be established within 125 milliseconds of the assertion of sys_en. figure 6-1. ac test load i/o 50p f ? = 50?
electrical, mechanical, and thermal specification 6-3 intel? pxa27x processor family ac timing specifications 5. the processor asserts the pwr_en signal to enable the power supplies vcc_core, vcc_sram, and vcc_pll. these supplies can turn on in any order but must all be established within 125 milliseconds of the assertion of pwr_en. 6. the external power-control subsystem de-asserts nvdd_fault to signal that all system power supplies have been properly established. 7. the processor de-asserts nreset_out and enters run mode, executing code from the reset vector. note: nbatt_fault must be high before nreset is de-asserted. otherwise, the processor does not begin the power-on sequencing event. nvdd_fault is sampled only when the sys_del and pwr_del timers have expired. refer to the intel? pxa27x processor family developer?s manual , ?initial power on? and ?deep-sleep exit states? for a state diagram. figure 6-2. power on reset timing table 6-2. power-on timing specifications (sheet 1 of 2)(oscc[cri] = 0) symbol description min typical max units t 1 delay from vcc_batt assertion to nreset de-assertion 10 ? ? ms t 2 delay from nreset de-assertion to sys_en assertion ? 10 1 ? ms t 3 delay from sys_en assertion to pwr_en assertion ? 125 ? ms t 4 power supply stabilization time (time to the deassertion of nvdd_fault after the assertion of pwr_en) ? ? 120 ms t 5 delay from the assertion of pwr_en to the de-assertion of nreset_out ? 125 ? ms t bramp vcc_batt power-on ramp rate ? 10 8 mv/us t 1 t 3 t 5 t 2 t 4 vcc_usb, vcc_io, vcc_mem, vcc_bb, vcc_lcd, vcc_usim vcc_core, vcc_sram, vcc_pll nbatt_fault nreset sys_en pwr_en nvdd_fault vcc_batt nreset_out t 1 t 2 t 3 t 5 t 4 t bramp t sysramp t pwrramp
6-4 electrical, mechanical, and thermal specification intel? pxa27x processor family ac timing specifications 6.2.2 hardware reset timing the timing sequences shown in figure 6-3 for hardware reset and the specifications in table 6-3 and table 6-4 assume stable power supplies at the assertion of nreset. follow the timings indicated in section 6.2.1 if the power supplies are unstable. t sysramp power-on ramp rate for all external high -voltage power domains ? 10 8 mv/us t pwrramp power-on ramp rate for all external low -voltage power domains (including dynamic voltage changes on vcc_core) ? 10 8 mv/us notes: 1. if the oscc[cri] =1 then the delay from nre set de-assertion to sys_en assertion is 3000ms note: this long delay is attributed to the fact that when the cri bit is read as 1, (which indicates that the clk_req pin was floated during a hardware or power-on reset) the processor oscillator is supplied externally. this then forces the system to wait for the 32 khz oscillator and the 13 mhz oscillator to stabilize. table 6-2. power-on timing specifications (sheet 2 of 2)(oscc[cri] = 0) symbol description min typical max units figure 6-3. hardware reset timing table 6-3. hardware reset timing specifications (oscc[cri] = 0) symbol description min typical max units t 6 delay betw een nreset asserted and nreset_out asserted ? < 100 ns 10 ms t 7 assertion time of nreset 6 ? ? ms t 8 delay between nreset de-asserted and nreset_out de-asserted 256 ? 265 ms nreset nreset_out t7 note: nbatt_fault and nvdd_fault must be deasserted during the reset sequence. t6 t8
electrical, mechanical, and thermal specification 6-5 intel? pxa27x processor family ac timing specifications 6.2.3 watchdog reset timing watchdog reset is generated internally and therefore has no external pin dependencies. the nreset_out pin is the only indicator of watchdog reset; it stays asserted for t dhw_out . the timing is similar to that for gpio reset ? see figure 6-4 for details. 6.2.4 gpio reset timing gpio reset is generated externally, and the source is reconfigured as a standard gpio as soon as the reset propagates internally. the clocks module is not reset by gpio reset, so the timing varies based on the selected clock frequency. if the clocks and power manager is in a frequency-change sequence when gpio reset is asserted (see section 5.5.1, ?32.768-khz oscillator specifications? on page 5-7 .), then figure 6-4 shows the timing of gpio reset, and table 6-5 shows the gpio reset timing specifications. note: when bit gprod is set in the power manager general configuration register, nreset_out is not asserted during gpio reset. for register details, see the ?clocks and power manager? chapter in the intel? pxa27x processor family developer?s manual . table 6-4. hardware reset timing specifications (oscc[cri] = 1) symbol description min typical max units t 6 delay between nreset asserted and nreset_out asserted ? < 100 ns 10 ms t 7 assertion time of nreset 6 ? ? ms t 8 delay between nreset de-asserted and nreset_out de-asserted 2256 ? 3265 ms figure 6-4. gpio reset timing gp[1] nreset_out ncs0 ta_gpio<1> tdhw_out_a tdhw_out tcs0
6-6 electrical, mechanical, and thermal specification intel? pxa27x processor family ac timing specifications 6.2.5 sleep mode timing sleep mode is internally asserted, and it asserts the nreset_out and pwr_en signals. figure 6-5 and table 6-6 show the required timing parameters for sleep mode. note: when bit sl_rod is set in the power manager sleep configuration register, nreset_out, is not asserted during gpio reset. see the ?clocks and power manager? chapter in the intel? pxa27x processor family developer?s manual for register details. table 6-5. gpio reset timing specifications symbol description min typical max units ta_gpio<1> minimum assert time of gpio<1> 1 in 13.000-mhz input clock cycles 4 4 ? ? cycles tdhw_out_a delay between gpio<1> asserted and nreset_out asserted in 13.000-mhz input clock cycles 6 4 ? 8 cycles tdhw_out delay between nreset_out asserted and nreset_out de-asserted, run or turbo mode 2 230 ? ? nsec tdhw_out_f delay between nreset_out asserted and nreset_out de-asserted, during frequency change sequence 3 5 ? 380 s tcs0 5 delay between nreset_out de- assertion and ncs0 assertion 1000 ? ? ns notes: 1. gpio<1> is not recognized as a reset source again un til configured to do so in software. software must check the state of gpio<1> before configuring as a rese t to ensure that no spurious reset is generated. for details, see the ?clocks and power manager? chapter in the intel? pxa27x processor family developer?s manual . 2. time is 512*n processor clock cycles plus up to 4 cycles of the 13.000-mhz input clock. 3. time during the frequency-change sequence depends on the state of the pll lock detector at the assertion of gpio reset. the lock detector has a maximum time of 350 s plus synchronization. 4. in standby, sleep, and deep-sleep modes, this time is in addition to the wake-up time from the low-power mode. 5. the tcs0 specification is also applicable to power-on reset, hardware reset, watchdog reset and deep- sleep/sleep mode exit.
electrical, mechanical, and thermal specification 6-7 intel? pxa27x processor family ac timing specifications figure 6-5. sleep mode timing 6.2.6 deep-sleep mode timing deep-sleep mode is internally asserted, and it asserts the nreset_out and pwr_en signals. figure 6-6 and table 6-7 show the required timing parameters for sleep mode. the timing specifications listed are for software-invoked (not battery or vdd fault) deep-sleep entry, unless specified. table 6-6. sleep-mode timing specifications symbol description min typical max 3 units t entry 5 delay between mcr sleep command issue to de-assertion of pwr_en 0.56 ? 2.5 1 msec t exit delay between wakeup event and run mode 0.50 ? 136.65 2,4 msec t pwrdelay delay between assertion of pwr_en to pll enable 2 0 ? 125 msec notes: 1. -1ms if not using dc2dc and -0.94ms if any internal sram banks are not powered 2. 0.15ms less time if exiting from sleep mode to 13m mode 3. add 0.1ms if the wake up event is external 4. oscillator start/crystal stable times are programmable (300us-11ms) note: 6ms is user programmable using the oscc[osd] bit. the remaining 5ms is an internal timer which counts until the oscillator is stable. (typical stabilization is 500us. maximum can be upto 5ms) 5. nreset_out and nvdd_fault are programmable during sleep mode sys_en vcc_usb, vcc_io, vcc_bb,vcc_mem, vcc_lcd, vcc_usim pwr_en nvdd_fault vcc_core, vcc_sram, vcc_pll nreset_out (high) (enabled) wakeup event sleep (entry) sleep sleep (exit) normal intel? pxa27x state: tentry texit tpwrdelay
6-8 electrical, mechanical, and thermal specification intel? pxa27x processor family ac timing specifications figure 6-6. deep-sleep-mode timing vcc_usb, vcc_io, vcc_bb, vcc_mem, vcc_lcd, vcc_usim vcc_core, vcc_sram, vcc_pll normal sys_en pwr_en nvdd_fault nreset_out wakeup event deep sleep (entry) deep sleep deep sleep (exit) intel? pxa27x state: tdentry tdexit tdpwr_delay deep-sleep command tdsys_delay tenable table 6-7. deep-sleep mode timing specifications symbol description min typical max 3 units t dentry 5 delay between deep-sleep command issue to de-assertion of sys_en 0.66 ? 1.66 1 msec t enable delay between de-assertion of pwr_en and sys_en ? 30 ? usec t dexit delay between wakeup event and run mode 0.60 ? 261.75 2,4 msec t dsysdelay delay between assertion of sys_en to pwr_en 2 0 ? 125 msec t dpwrdelay delay between assertion of pwr_en to pll enable 2 0 ? 125 msec note: timing specifications for nbatt_fault and/or nvdd_fault asserted deep-sleep mode entry are below: fault assert delay between nbatt_fault or nvdd_fault assertion (during all modes of operation including sleep mode) and deep-sleep mode entry 6 (the de-assertion of sys_en defines when the processor is in deep-sleep mode) 0.33 ? 1.56 msec notes: 1. -1ms if not using dc2dc 2. 0.15ms less time if exiting from deep-sleep mode to 13m mode 3. add 0.1ms if the wake up event is external 4. oscillator start/crystal stable times are programmable (300 s-11ms) note: 6ms is user programmable using the oscc[osd] bit. the remaining 5ms is an internal timer which counts until the oscillator is stable. (typical stabilization is 500 s. maximum can be upto 5ms) 5. nreset_out and nvdd_fault are progr ammable during sleep mode 6. assumes pmcr[bidae or vidae] bits are set to ze ro (default state) - the pmcr[bidae or vidae] bits are only read by the processor if nbatt_fault or nvdd_fault signals are asserted
electrical, mechanical, and thermal specification 6-9 intel? pxa27x processor family ac timing specifications 6.2.6.1 gpio states in deep-sleep mode if the external high voltage power domains (vcc_io, vcc_mem, vcc_bb, vcc_lcd, vcc_usb, vcc_usim) remain powered on during deep-sleep, the pgsr values are driven onto all the gpio pins (that are configured as outputs) for a finite time period, then the pins default to the reset state (pu/pd) as described in chapter 2 of this manual. this sequence occurs for either software initiated or fault initiated deep-sleep entry. note: gpios<0,1,3,4,9,10> never float. they are powered from vcc_batt so when the system and the core power domains are removed (controlled by sys_en and pwr_en), the pu/pd resistors are still enabled due to vcc_batt remaining on. the delay between the initiation of deep-sleep mode and enabling the gpio pu/pd states, is system dependant because the processor is performing an unpredictable workload and requires an unknown amount of time to complete current processes. refer to the deep-sleep mode, ?clocks and power? section of the intel? pxa27x processor family developers manual for a description on deep-sleep mode entry sequence. table 6-8 shows the time period that the gpio pull-up/pull-downs are enabled. listed below are the regulators and converter naming conventions: l1 = sleep/deep-sleep linear regulator l2 = high-current linear regulator dc2dc = sleep/deep-sleep dc-dc converter note: if the external high voltage power domains (vcc_io, vcc_mem, vcc_bb, vcc_lcd, vcc_usb, vcc_usim) are powered off during deep-sleep mode, the gpios behave the same as described above; however, they float after the supplies are removed. table 6-8. gpio pu/pd timing specifications for deep-sleep mode description l2 l1 dc2dc units duration of the gpio pu/pd states being enabled and the de-assertion of pwr_en 0.1 0.13 1.13 msec
6-10 electrical, mechanical, and thermal specification intel? pxa27x processor family ac timing specifications 6.2.7 standby-mode timing 6.2.8 idle-mode timing 6.2.9 frequency-change timing table 6-9. standby-mode timing specifications symbol description min typical max units ? 13m mode to standby mode entry ? 0.34 ? msec ? standby mode exit to 13m mode 1 0.28 ? 11.28 2 msec ? run mode to standby mode entry ? 0.34 ? msec ? standby mode exit to run mode 1 0.43 0.39 11.43 2 msec notes: 1. the 13m oscillator is programmable 2. add 0.1ms if the wake up event is external table 6-10. idle-mode timing specifications symbol description min typical max units ? 13m mode to deep idle mode entry ? 1 ? s ? deep idle mode exit to 13m mode ? 1 ? s ? run mode to idle run mode entry ? 1 ? s ? idle run mode exit to run mode ? 1 ? s table 6-11. frequency-change timing specifications symbol description min typical max units ? delay between mcr command to frequency change sequence completion ? 150 1 ? s ? delay to change between turbo, half- turbo and run modes ? 1 2 ? s ? delay to enter 13m mode from any run mode 3 ? 1 ? s ? delay to exit 13m mode to any run mode ? 2 4 ? s notes: 1. any change to the cccr[2n or l] bits followed by a write to clfcfg[f] to initiate a frequency change sequence, results in a pll restart 2. changing between turbo, half-turbo and run modes does not require a pll restart 3. software can only change into 13m mode from any run mode 4. assuming software uses the pll early enable feature (cccr[pll_early_en] prior to a frequency change sequence
electrical, mechanical, and thermal specification 6-11 intel? pxa27x processor family ac timing specifications 6.2.10 voltage-change timing the pwr i 2 c uses the regular i 2 c protocol. the pwr i 2 c is clocked at 40 khz (160 khz fast- mode operation is supported). software controls the time required for initiating the voltage change sequence through completion. the voltage-change timing is a product of the number of commands issued plus the number of software programmed delays. table 6-12 shows the timing of a 1 byte command issued to the power manager ic. set the i 2 c programmable output ramp rate with a default/reset ramp rate of 10mv/ 0 table 6-12. voltage-change timing specification for a 1-byte command 6.3 gpio timing specifications table 6-13 shows the general-purpose i/o (gpio) ac timing specifications. symbol description min typical max units ? delay between voltage change sequence start 1 to command received by pmic ? 18 ? cycles 2 notes: 1. write 1 to pwrmode[vc] 2. 40 khz cycles table 6-13. gpio timing specifications symbol parameter min max units notes tagpio 1 assertion time required to detect gpio edge 154 ? ns run, idle, or sense power modes tagpiolp 2 assertion time required to detect gpio low-power edge 62.5 ? s standby, sleep, or deep-sleep power modes tdgpio 1 de-assertion time required to detect gpio edge 154 ? ns run, idle, or sense power modes tdgpiolp 2 de-assertion time required to detect gpio low-power edge 62.5 ? s standby, sleep, or deep-sleep power modes tdigpio 3 time it takes for a gpio edge to be detected internally 231 ? ns run, idle, or sense power modes tdigpiolp 4 time it takes for a gpio low- power edge to be detected internally 93.75 ? s standby, sleep, or deep-sleep power modes notes: 1. period equal to two 13-mhz cycles 2. period equal to two 32-khz cycles 3. period equal to three 13-mhz cycles 4. period equal to three 32-khz cycles note 4 describes the complete timing for a standby, sleep, or deep-sleep wake up source to be asserted and detected internally (2 cycles for assertion (note 2) and 1 additional cycle for detection).
6-12 electrical, mechanical, and thermal specification intel? pxa27x processor family ac timing specifications 6.4 memory and expansion-ca rd timing specifications interfaces with the following memories must observe the ac timing requirements given in the following subsections: ? section 6.4.1, ?internal sram read/write timing specifications? ? section 6.4.2, ?sdram parameters and timing diagrams? ? section 6.4.3, ?rom parameters and timing diagrams? ? section 6.4.4, ?flash memory parameters and timing diagrams? ? section 6.4.5, ?sram parameters and timing diagrams? ? section 6.4.6, ?variable-latency i/o parameters and timing diagrams? ? section 6.4.7, ?expansion-card interface parameters and timing diagrams? note: the diagrams in this section use the following conventions: ? input signals to the processor are represented using dashed waveforms. ? outputs and bidirectional signals are represented using solid waveforms. ? fixed parameters are shown using double arrows in grey (black and white print) or green (color print). ? programmable parameters are shown using bold single arrows. ? the processor register that is used to change a specific timing is given in the corresponding timing table. 6.4.1 internal sram read/write timing specifications 6.4.2 sdram parameters and timing diagrams table 6-15 shows the timing parameters used in figure 6-7 . also see section 6.4.3 and figure 6-11 for additional sdram bus tenure information. see figure 6-10 for sdram fly-by bus tenures. table 6-14. sram read/write ac specification symbols parameters min typ max units tsramrd 4-beat read transfer ? 9 ? system bus clocks tsramwr 4-beat write transfer ? 7 ? system bus clocks
electrical, mechanical, and thermal specification 6-13 intel? pxa27x processor family ac timing specifications table 6-15. sdram interface ac specifications (sheet 1 of 2) symbols parameters vcc_mem = 1.8v +20% / ?5% 3 vcc_mem = 2.5v +/- 10% 4 vcc_mem = 3.3v +/- 10% 5 units notes min typ max min typ max min typ max tsdclk sdclk1, sdclk2 period 9.6 ? 76.9 9.6 ? 76.9 9.6 ? 76.9 ns 1, 2 tsdcmd nsdcas, nsdras, nwe, nsdcs assert time 1 ?1 1 ?1 1 ?1 sdclk ? tsdcas nsdcas to nsdcas assert time 2 ?? 2 ?? 2 ?? sdclk ? tsdrcd nsdras to nsdcas assert time 1 mdcnfg [dtcx] 3 1 mdcnfg [dtcx] 3 1 mdcnfg [dtcx] 3 sdclk 6 tsdrp nsdras pre charge 2 mdcnfg [dtcx] 3 2 mdcnfg [dtcx] 3 2 mdcnfg [dtcx] 3 sdclk 6 tsdcl nsdras to nsdcas delay 2 mdcnfg [dtcx] 3 2 mdcnfg [dtcx] 3 2 mdcnfg [dtcx] 3 sdclk 6 tsdras nsdras active time 3 mdcnfg [dtcx] 7 3 mdcnfg [dtcx] 7 3 mdcnfg [dtcx] 7 sdclk 6 tsdrc nsdras cycle time 4 mdcnfg [dtcx] 11 4 mdcnfg [dtcx] 11 4 mdcnfg [dtcx] 11 sdclk 6 tsdwr write recovery time (time from last data in the precharge) 2 ?2 2 ?2 2 ?2 sdclk ? tsdsdos ma<24:10>, md<31:0>, dqm<3:0>, nsdcs<3:0>, nsdras, nsdcas, nwe, noe, sdcke1, rdnwr output setup time to sdclk<2:1> rise tbd ?? tbd ? tbd ?? ns ? tsdsdoh ma<24:10>, md<31:0>, dqm<3:0>, nsdcs<3:0>, nsdras, nsdcas, nwe, noe, sdcke1, rdnwr output hold time from sdclk<2:1> rise tbd ?? tbd ? tbd ?? ns ? vcc_core = 0.85 v +/? 10%, with 1.71 v<= vcc_mem <= 3.63 v vcc_core = 1.1 v +/? 10%, with 1.71 v <= vcc_mem <= 3.63 v vcc_core = 1.3 v +/? 10%, with 1.71 v <= vcc_mem <= 3.63 v
6-14 electrical, mechanical, and thermal specification intel? pxa27x processor family ac timing specifications tsdsdis md<31:0> read data input setup time from sdclk<2:1> rise tbd ?? 0.5 ?? 0.5 ?? ns ? tsdsdih md<31:0> read data input hold time from sdclk<2:1> rise tbd ?? 1.8 ?? 1.8 ?? ns ? notes: 1. sdclk for sdram slowest period is accomplished by divide-by -2 of the 26-mhz clk_mem. the fastest possible sdclk is accomplished by configuring clk_mem at 104 mhz and not setting mdrefr[kxdb2]. 2. sdclk1 and sdclk2 frequencies are configured to be clk_mem frequency divided by 1 or 2, depending on the bit fields mdrefr[k1db2] and mdrefr[k2db2] settings. 3. these numbers are for vcc_mem = 1.8 v +20% / ?5%, vol = 0. 4 v, and voh = 1.4 v, with each applicable 4-bit field of the system memory buffer strength registers (bscntrp and bscntrn) set to tbd (msb:lsb) and each applicable sdclk<2:1> divide-by-2 and divide-by-4 register bits mdrefr[kxdb2] clear. 4. these numbers are for vcc_mem = 2.5 v +/? 10%, vol = 0.4 v, and voh = 2.1 v, with each applicable 4-bit field of the system memory buffer strength registers (bscntrp and bscntrn) set to 0b1010 (msb:lsb) and each applicable sdclk<2:1> divide- by-2 and divide-by-4 register bit mdrefr[kxdb2] clear. 5. these numbers are for vcc_mem = 3.3 v +/? 10%, vol = 0.4 v, and voh = 2.4 v, with each applicable 4-bit field of the system memory buffer strength registers (bscntrp and bscntrn) set to 0b1010 (msb:lsb) and each applicable sdclk<2:1> divide- by-2 and divide-by-4 register bit mdrefr[kxdb2] clear. 6. refer to the ?memory controller? chapter in the intel? pxa27x processor family developer?s manual for register configuration. table 6-15. sdram interface ac specifications (sheet 2 of 2) symbols parameters vcc_mem = 1.8v +20% / ?5% 3 vcc_mem = 2.5v +/- 10% 4 vcc_mem = 3.3v +/- 10% 5 units notes min typ max min typ max min typ max
electrical, mechanical, and thermal specification 6-15 intel? pxa27x processor family ac timing specifications figure 6-7. sdram timing nop act nop read nop pre nop act nop write nop pre nop 0b0000 0 1 2 3 tsdrcd tsdras tsdcmd tsdrp tsdrc tsdcmd tsdcl twr tsdsdoh tsdsdos tsdih tsdsdis tsdclk mask data values sdclk<1> sdcke<1> command nsdcs<0> nsdras nsdcas nwe md<31:0> read md<31:0> write dqm<3:0> rdnwr
6-16 electrical, mechanical, and thermal specification intel? pxa27x processor family ac timing specifications figure 6-8. sdram 4-beat read/4-beat write, different banks timing read(0) pre(1) nop act(1) nop write(1) nop col bank row col rd0_0 rd0_1 rd0_2 rd0_3 wd1_0 wd1_1 wd1_2 wd1_3 0b0000 0 1 2 3 1. mdcnfg[dtc] = 0b00 (cl = 2, trp = 2 clk, trcd = 1 clk), mdcnfg[stack] = 0b00 mask data bytes sdclk<1> sdcke<1> command nsdcs<0> nsdcs<1> nsdras nsdcas ma<24:10> nwe md<31:0> (read) md<31:0> (write) dqm<3:0> rdnwr 2. see the sdram timing diagram. notes:
electrical, mechanical, and thermal specification 6-17 intel? pxa27x processor family ac timing specifications figure 6-9. sdram 4-beat write/4-beat write, same bank-same row timing nop write(0) nop write(0) nop col col wd0_0 wd0_1 wd0_2 wd0_3 wd0_4 wd0_5 wd0_6 wd0_7 mask0 mask1 mask2 mask3 mask4 mask5 mask6 mask7 mask data bytes 1. mdcnfg[dtc] = 0b01 (cl = 2, trp = 2 clks) sdclk<1> sdcke<1> command nsdcs<0> nsdras nsdcas ma<24:10> nwe md<31:0> dqm<3:0> rdnwr 2. see the sdram timing diagram. notes:
6-18 electrical, mechanical, and thermal specification intel? pxa27x processor family ac timing specifications 6.4.3 rom parameters and timing diagrams table 6-16 lists the timings for rom reads. see figure 6-11 , figure 6-12 , figure 6-13 , and figure 6-14 for timings diagrams representing burst and non-burst rom reads. note: table 6-16 lists programmable register items. see the ?memory controller? chapter in the intel? pxa27x processor family developer?s manual for register configurations for more information on these items. figure 6-10. sdram fly-by dma timing read pre nop act nop write nop col bank row col rd0 rd1 rd2 rd3 wd0 wd1 wd2 wd3 0b0000 mask0 mask1 mask2 mask3 drive data wd3 drive data wd2 drive data wd1 drive data wd0 latch dval[1] asserted latch data rd3 latch data rd2 latch data rd1 latch data rd0 1. mdcnfg[dtc] = 0b00 (cl = 2, trp = 2 clk, trcd = 1 clk) mask data bytes latch data on rising edge of sdclk<1> when dval<0> is asserted. using dval<1> driven two clocks early, drive data on rising edge of sdclk<2>. sdclk<1> sdclk<2> sdcke<1> command nsdcs<0> nsdcs<2> nsdras nsdcas ma<24:10> nwe md<31:0> dqm<3:0> rdnwr dval<0> dval<1> 2. see the sdram timing diagram. notes: table 6-16. rom ac specification (sheet 1 of 2) symbols parameters min typ max units ? notes tromas address setup to ncs assert 1 ? 1 clk_mem ? tromces ncs setup to noe asserted ? ? 0 clk_mem ? tromceh ncs hold from noe deasserted ? ? 0 clk_mem ? tromdsoh md setup to address valid 1.5 ? ? clk_mem ?
electrical, mechanical, and thermal specification 6-19 intel? pxa27x processor family ac timing specifications tromdoh md hold from address valid 0 ? ? clk_mem ? tromavdvf address valid to data valid for the first read access 2 mscx[rdf]+2 32 clk_mem ? tromavdvs address valid to data valid for subsequent reads of non-burst devices 1 mscx[rdf]+1 31 clk_mem ? tflashavdvs address valid to data valid for subsequent reads of burst devices 1 mscx[rdn]+1 31 clk_mem ? tromcd ncs deasserted after a read of next ncs or nsdcs asserted (minimum) 1 mscx[rrr]*2+ 1 15 clk_mem ? ? numbers shown as integer multiples of the clk_mem period are ideal. actual numbers vary with pin-to-pin differences in loading and transition direction (rise or fall). for more in formation, refer to the ?memory control? chapter in the intel? pxa27x processor family developer?s manual . table 6-16. rom ac specification (sheet 2 of 2) symbols parameters min typ max units ? notes
6-20 electrical, mechanical, and thermal specification intel? pxa27x processor family ac timing specifications figure 6-11. 32-bit non-burst rom, sram, or flash read timing 0 1 2 3 0b00 0b00 / 0b01 / 0b10 / 0b11 0b00 corresponding mask value tromcd tromavdvs tromavdvs tromavdvs tromavdvf tromas tromdoh tromdsoh tromdoh tromdsoh tromdoh tromdsoh tromdoh tromdsoh tromceh tromces note: msc0[rdf0] = 4, msc0[rrr0] = 1 clk_mem ncs<0> ma<25:2> ma<1:0>(sa1110x='0') ma<1:0>(sa1110x='1') nadv(nsdcas) noe nwe rdnwr md<31:0> dqm<3:0>(sa1110x='0') dqm<3:0>(sa1110x='1') ncsx or nsdcsx
electrical, mechanical, and thermal specification 6-21 intel? pxa27x processor family ac timing specifications figure 6-12. 32-bit burst-of-eight rom or flash read timing 0 1 2 3 4 5 6 7 0b00 0b00 / 0b01 / 0b10 / 0b11 0b0000 corresponding mask value tromcd tromavdvs tromavdvf tdoh tdsoh tceh tces tas note: msc0[rdf0] = 4, msc0[rdn0] = 1, msc0[rrr0] = 1 clk_mem ncs<0> ma<25:5> ma<4:2> ma<1:0>(sa1110x='0') ma<1:0>(sa1110x='1') nadv(nsdcas) noe nwe rdnwr md<31:0> dqm<3:0>(sa1110x='0') dqm<3:0>(sa1110x='1') ncsx or nsdcsx
6-22 electrical, mechanical, and thermal specification intel? pxa27x processor family ac timing specifications figure 6-13. eight-beat burst read from 16-bit burst-of-four rom or flash timing address 0 1 2 3 0 1 2 3 0b0 0b0 / 0b1 0b00 0b00 or 0b10/0b01 tromcd tromavdvs tromavdvf tromavdvs tromavdvf tromdoh tromdsoh tromdoh tromdsoh tromceh tromces tromas note: msc0[rdf0] = 4, msc0[rdn0] = 1, msc0[rrr0] = 0 clk_mem ncs<0> ma<25:4> ma<3> ma<2:1> ma<0>(sa1110x='0') ma<0>(sa1110x='1') nadv(nsdcas) noe nwe rdnwr md<15:0> dqm<1:0>(sa1110x='0') dqm<1:0>(sa1110x='1') ncsx or nsdcsx
electrical, mechanical, and thermal specification 6-23 intel? pxa27x processor family ac timing specifications 6.4.4 flash memory parameters and timing diagrams the following sections describe the read/write parameters and timing diagrams for asynchronous and synchronous flash-memory interfaces with the memory controller. 6.4.4.1 flash memory read parameters and timing diagrams section 6.4.4.1.1 describes asynchronous flash reads. section 6.4.4.1.2 describes synchronous flash reads. 6.4.4.1.1 asynchronous flash read parameters and timing diagrams the timings listed in table 6-16 for rom reads also apply to asynchronous flash reads. see figure 6-11 , figure 6-12 , figure 6-13 , and figure 6-14 for timings diagrams representative of an asynchronous flash read. figure 6-14. 16-bit rom/flash/sram read for 4/2/1 bytes timing addr addr + 1 addr addr addr addr + 1 0 0 0 0 0/1 0/1 0/1 0/1 0b00 0b00 0b00 0b00 mask mask mask mask tflashavdvs tromavdvf tromavdvf tromavdvf tromavdvs tromavdvf tromcd tromcd tromcd tromdoh tromdsoh tromdoh tromdsoh tromdoh tromdsoh tromdoh tromdsoh tromdoh tromdsoh tromdoh tromdsoh tromces tromces tromces tromceh tromces tromas tromas tromas tromas 32-bit read note: msc0[rdf0] = 2, msc0[rdn0] = 1, msc0[rrr0] = 1 16-bit read 8-bit read applies to: 16-bit rom or non-burst flash 16-bit sram applies to: 16-bit rom or non-burst flash 16-bit sram 16-bit burst flash applies to: 16-bit rom or non-burst flash 16-bit sram 16-bit burst flash 32-bit read applies to: 16-bit burst flash clk_mem ncs<0> ma<25:1> ma<0>(sa1110x='0') ma<0>(sa1110x='1') nadv(nsdcas) noe nwe rdnwr md<15:0> dqm<1:0>(sa1110x='0') dqm<1:0>(sa1110x='1')
6-24 electrical, mechanical, and thermal specification intel? pxa27x processor family ac timing specifications 6.4.4.1.2 synchronous flash read parameters and timing diagrams table 6-17 lists the timing parameters used in figure 6-15 , and, for flash packages, figure 6-16 . table 6-17. synchronous flash read ac specifications (sheet 1 of 2) symbols parameters min typ max min typ max min typ max units notes divide by 1 2 divide by 2 3 divide by 4 4 tffclk sdclk0 period 9.6 ? 38.5 19. 2 ? 76.9 38.5 ? 154 ns 1 tffas ma<25:0> setup to nsdcas (as nadv) asserted 1 ? 1 1 ? 2 1 ? 4 clk_mem ? tffces ncs setup to nsdcas (as nadv) asserted 1 ? 1 1 ? 2 1 ? 4 clk_mem ? tffadv nsdcas (as nadv) pulse width 1 ? 1 3 ? 3 7 ? 7 clk_mem ? tffos nsdcas (as nadv) deassertion to noe assertion 1 fcc ? 1 (for fcc<5) fcc ? 2 (for fcc>=5) 13 2 (fcc ? 1) * 2 (for fcc<5) (fcc ? 2) * 2 (for fcc>=5) 26 7 (fcc * 4) ? 7 (for fcc<5) (fcc ? 2) * 4 (for fcc>=5) 52 clk_mem 5 tffceh noe deassertion to ncs deassertion 4 ? 4 8 ? 8 16 ? 16 clk_mem ? tffds clk to data valid 2 fcc 15 2 fcc 15 2 fcc 15 clk_mem 5 vcc_mem = 1.8v +20% / -5% 6 vcc_mem = 2.5v +/- 10% 7 vcc_mem = 3.3v +/- 10% 8 tffsdos ma<25:0>, md<31:0>, dqm<3:0>, ncs<3:0>, nsdcas (nadv), nwe, noe, rdnwr output setup time to sdclk<2:1> rise tbd ? ? tb d ? ? tbd ? ? ns ? tffsdoh ma<25:0>, md<31:0>, dqm<3:0>, ncs<3:0>, nsdcas (nadv), nwe, noe, rdnwr output hold time from sdclk<2:1> rise tbd ? ? tb d ? ? tbd ? ? ns ? vcc_core = 0.85 v +/ ? 10%, with 1.71 v<= vcc_mem <= 3.63 v vcc_core = 1.1 v +/? 10%, with 1.71 v <= vcc_mem <= 3.63 v vcc_core = 1.3 v +/? 10%, with 1.71 v <= vcc_mem <= 3.63 v
electrical, mechanical, and thermal specification 6-25 intel? pxa27x processor family ac timing specifications tffsdis md<31:0> read data input setup time from sdclk<2:0> rise tbd ? ? 0.5 ? ? 0.5 ? ? ns ? tffsdih md<31:0> read data input hold time from sdclk<2:0> rise tbd ? ? 1.8 ? ? 1.8 ? ? ns ? notes: 1. sdclk0 may be configured to be clk_mem divided by 1, 2 or 4. sdclk0 for synchronous flash memory can be at the slowest, divide-by-4 of the 26-mhz clk_mem. the fastest possible sdclk0 is accomplished by configuring clk_mem at 104 mhz and clearing the mdrefr[k0db2] or mdrefr[k0db4] bit fields. 2. sdclk0 frequency equals clk_mem frequency (mdr efr[k0db4] and mdrefr[k0db2] bit fields are clear) 3. sdclk0 frequency equals clk_mem/2 frequency (mdrefr[k0db2] is set and mdrefr[k0db4] is clear). 4. sdclk0 frequency equals clk_mem/4 frequency (mdrefr[k0db4] is set). 5. use sxcnfg[sxclx] to configure the value for the frequency configuration code (fcc). 6. these numbers are for vcc_mem = 1.8 v +20% / -5%, vol = 0.4 v, and voh = 1.4 v, with each applicable 4-bit field of the system memory buffer strength registers (bscn trp and bscntrn) set to tbd (msb:lsb) and each applicable sdclk0 divide- by-2 and divide-by-4 register bits (mdrefr[k0db2] and mdre fr[k0db4]) clear. if mdrefr[k0db2 is set, the corresponding output setup and hold times are increased and decreas ed, respectively, by 0.25 times the sdclk0 period. 7. these numbers are for vcc_mem = 2.5 v +/? 10%, vol = 0.4 v, a nd voh = 2.1 v, with each applicable 4-bit field of the system memory buffer strength registers (bscntrp and bscntrn) set to 0b1010 (msb:lsb) and each applicable sdclk0 divide-by-2 and divide-by-4 register bit (mdrefr[k0db2] and mdrefr[k0db4]) clear. if mdrefr[k0db2 is set, the corresponding output setup and hold times are increased and decreased, respectively, by 0.25 times the sdclk0 period. 8. these numbers are for vcc_mem = 3.3 v +/? 10%, vol = 0.4 v, a nd voh = 2.4 v, with each applicable 4-bit field of the system memory buffer strength registers (bscntrp and bscntrn) set to 0b1010 (msb:lsb) and each applicable sdclk0 divide-by-2 and divide-by-4 register bit (mdrefr[k0db2] and mdrefr[k0db4]) clear. if mdrefr[k0db2 is set, the corresponding output setup and hold times are increased and decreased, respectively, by 0.25 times the sdclk0 period. table 6-17. synchronous flash read ac specifications (sheet 2 of 2) symbols parameters min typ max min typ max min typ max units notes
6-26 electrical, mechanical, and thermal specification intel? pxa27x processor family ac timing specifications figure 6-15. synchronous flash burst-of-eight read timing 0b00 0b00/0b01/0b10/0b11 0b0000 corresponding mask value code+1 code notes: 1) sxcnfg[cl] = 0b100 (cl = 5, frequency code configuration = 4) 2) code = frequency configuration code clk_mem sdclk<0> ma<19:2> ma<1:0>(sa1110x=0) ma<1:0>(sa1110x=1) ncs<0> nadv(nsdcas) noe nwe md<31:0> dqm<3:0>(sa1110x=0) dqm<3:0>(sa1110x=1)
electrical, mechanical, and thermal specification 6-27 intel? pxa27x processor family ac timing specifications figure 6-16. synchronous flash stacked burst-of-eight read timing 0b00 0b00/0b01/0b10/0b11 0b0000 corresponding mask value code+1 code note: sxcnfg[cl] = 0b100 (cl = 5, frequency code configuration = 4) sa1110cr[sxstack] = 0b01 clk_mem sdclk<3> ma<19:2> ma<1:0>(sa1110x=0) ma<1:0>(sa1110x=1) ncs<0> nadv(nsdcas) noe nwe md<31:0> dqm<3:0>(sa1110x=0) dqm<3:0>(sa1110x=1)
6-28 electrical, mechanical, and thermal specification intel? pxa27x processor family ac timing specifications figure 6-17 indicates which clock data would be latched following the assertion of nsdcas(adv), depending on the configuration of the sxcnfg[sxclx] bit field. the period in the diagram indicated by different frequency configuration codes (fcodes or fccs) is equal to the number of sdclk0 cycles between the read command and the clock edge on which data is driven onto the bus. figure 6-17. first-access latency configuration timing valid address 0b0000 beat 0 beat 1 beat 2 beat 3 beat 4 beat 5 beat 0 beat 1 beat 2 beat 3 beat 4 beat 0 beat 1 beat 2 beat 3 beat 0 beat 1 beat 2 beat 0 beat 1 beat 0 code 7 code 6 code 5 code 4 code 3 code 2 note: code = frequency configuration code sdclk<0> ncs<0> ma<19:0> nsdcas dqm<3:0> md (code = 2) md (code = 3) md (code = 4) md (code = 5) md (code = 6) md (code = 7)
electrical, mechanical, and thermal specification 6-29 intel? pxa27x processor family ac timing specifications the burst read example shown in figure 6-18 represents waveforms that result when sxcnfg[sxclx] is configured as 0b0100, representing a frequency configuration code equal to 3. the following example can be used to help determine the appropriate setting for sxcnfg[sxclx]. parameters defined by the processor: ? tffsdoh (max) = sdclk<0> to ce# (nce), adv# (nadv), or address valid, whichever occurs last ? tffsdis (min) = data setup to sdclk<0> parameters defined by flash memory: ? tvlqv (min) = adv# low to output delay ? tvlch (min) = adv# low to clock ? tchqv (max) = sdclk<0> to output valid use the following equations when calculating the frequency configuration code: (1) sdclk period = (1 / frequency) (2) n (sdclk period) tvlqv - tvlch - tchqv (3) n = (tvlqv - tvlch - tchqv) / sdclk period, where n = frequency configuration code rounded up to integer value (4) sdclk period tchqv + tffsdis example the timing information below is only an example. see table 6-17 for actual synchronous ac timings. sdclk<0> frequency = 50 mhz tvlqv = 70 ns (typical timing from synchronous flash memory) tvlch = 10 ns (min) tchqv = 14 ns (min) from eq.(1): 1 / 50 (mhz) = 20 ns from eq.(2): n(20 ns) 70 ns - 10 ns - 14 ns n(20 ns) 46 ns n = (46 / 20) ns = 2.3 ns n = 3 use equation 4 to help verify the maximum possible frequency at which the synchronous flash memory can run with the memory controller. the following example uses equation 4: sdclk<0> frequency = 66 mhz tchqv = 11 ns (max) tffsdis = 3 ns (min) from eq. (1): 1 / 66 (mhz) = 15.15 ns from eq. (4): 15.15 ns 11 ns + 3 ns 15.15 ns 14 ns the results from this example indicate that the 66-mhz memory works without problems with the memory controller. note: all ac timings must be considered to avoid timing violations in the memory-to-memory-controller interface.
6-30 electrical, mechanical, and thermal specification intel? pxa27x processor family ac timing specifications 6.4.4.2 flash memory write parameters and timing diagrams table 6-18 lists the ac specification for both burst and non-burst flash writes shown in figure 6-19 and, for stacked flash packages , figure 6-20 . figure 6-18. synchronous flash burst read example valid address beat 0 beat1 tchqv tffsdoh tffsdoh tffsdoh tffsdis tvlqv tavch sdclk<0> ncs<0> nsdcas (adv#) ma md table 6-18. flash memory ac specification (sheet 1 of 2) symbols parameters min typ max units 1 notes tflashas address setup to ncs assert 1 ? 1 clk_mem ? tflashah address hold from nwe de-asserted 1 ? 1 clk_mem ? tflashasw address setup to nwe asserted 1 ? 3 clk_mem 2 tflashces ncs setup to nwe asserted 2 ? 2 clk_mem ? tflashceh ncs hold from nwe de-asserted 1 ? 1 clk_mem ? tflashwl nwe asserted time 1 mscx[rdf]+1 31 clk_mem ? tflashdswh md/dqm setup to nwe de-asserted 2 mscx[rdf]+2 32 clk_mem ? tflashdh md/dqm hold from nwe de- asserted 1 ? 1 clk_mem ? tflashdsoh md setup to address valid 1.5 ? ? clk_mem ?
electrical, mechanical, and thermal specification 6-31 intel? pxa27x processor family ac timing specifications tflashdoh md hold from address valid 0 ? ? clk_mem ? tflashcd ncs de-asserted after a read/write to next ncs or nsdcs asserted (minimum) 1 mscx[rrr]*2 + 1 15 clk_mem ? notes: 1. numbers shown as integer multiples of the clk_mem period are ideal. actual numbers vary with pin-to-pin differences in loading and transition direction (rise or fall). 2. on the first data beat of burst transfe r, the tflashasw is 3 clk_mem periods. on subsequent data beats, the tflashasw is 1 clk_mem period. figure 6-19. 32-bit flash write timing table 6-18. flash memory ac specification (sheet 2 of 2) symbols parameters min typ max units 1 notes command address data address 0b00 0b00 cmd data 0b0000 0b0000 tflashcd tflashdswh tflashdswh tflashwl tflashwl tflashcd tflashdh tflashdh tflashah tflashceh tflashces tflashasw tflashah tflashceh tflashces tflashasw tflashas tflashas note: msc0[rdf0] = 2, msc0[rrr0] = 2 first bus cycle second bus cycle clk_mem ncs<0> ma<25:2> ma<1:0> nwe noe rdnwr md<31:0> dqm<3:0> nadv(nsdcas) ncsx or nsdcsx
6-32 electrical, mechanical, and thermal specification intel? pxa27x processor family ac timing specifications figure 6-20. 32-bit stacked flash write timing command address data address 0b00 0b00 cmd data 0b0000 0b0000 tflashcd tflashdswh tflashdswh tflashwl tflashwl tflashcd tflashdh tflashdh tflashah tflashceh tflashces tflashasw tflashah tflashceh tflashces tflashasw tflashas tflashas * msc0[rdf0] = 2, msc0[rrr0] = 2, sa1110{sxstack] = 00 first bus cycle second bus cycle clk_mem nwe ma<25:2> ma<1:0> ncs<0> or ncs<1> noe rdnwr md<31:0> dqm<3:0> nadv(nsdcas) ncsx
electrical, mechanical, and thermal specification 6-33 intel? pxa27x processor family ac timing specifications 6.4.5 sram parameters and timing diagrams the following sections describe the read/write parameters and timing diagrams for sram interfaces with the memory controller. 6.4.5.1 sram read parameters and timing diagrams the timing for a read access is identical to that for a non-burst rom read (see figure 6-11 ). the timings listed in table 6-16 for rom reads are also used for sram reads. see figure 6-11 and figure 6-14 for timings diagrams representing 16-bit sram transferring four, two, and one byte(s) during read-bus tenures. 6.4.5.2 sram write parameters and timing diagrams figure 6-22 and figure 6-23 show the timing for 32-bit and 16-bit sram writes. table 6-19 lists the timings used in figure 6-22 and figure 6-23 . figure 6-21. 16-bit flash write timing addr 0b0 bytes 1:0 0b00 tflashcd tflashdswh tflashwl tflashdh tflashceh tflashces tflashas applies to: 16-bit non-burst flash 16-bit burst flash note: msc1[rdn2] = 2, msc1[rdf2] = 1, msc1[rrr2] = 2 clk_mem ncs<2> ma<25:1> ma<0> nwe noe rdnwr md<15:0> dqm<1:0> nadv(nsdcas) ncsx or nsdcsx
6-34 electrical, mechanical, and thermal specification intel? pxa27x processor family ac timing specifications during writes, data pins are actively driven by the processor and are not three-stated, regardless of the states of the individual dqm signals. for sram writes, the dqm signals are used as byte enables. note: table 6-19 lists programmable register items. see the ?memory controller?chapter in the intel? pxa27x processor family developer?s manual for register configurations for more information on these items. table 6-19. sram write ac specification symbols parameters min typ max units 1 notes tsramas address setup to ncs assert 1 ? 1 clk_mem ? tsramah address hold from nwe de-asserted 1 ? 1 clk_mem ? tsramasw address setup to nwe asserted 1 ? 3 clk_mem 2 tsramces ncs setup to nwe asserted 2 ? 2 clk_mem ? tsramceh ncs hold from nwe de-asserted 1 ? 1 clk_mem ? tsramwl nwe asserted time 1 mscx[rdn]+1 31 clk_mem ? tsramdswh md/dqm setup to nwe de-asserted 2 mscx[rdn]+2 32 clk_mem ? tsramdh md/dqm hold from nwe de- asserted 1 ? 1 clk_mem ? tramcd ncs de-asserted after a read to next ncs or nsdcs asserted (minimum) 1 mscx[rrr]*2+ 1 15 clk_mem ? notes: 1. numbers shown as integer multiples of the clk_mem period are ideal. actual numbers vary with pin-to-pin differences in loading and transition direction (rise or fall). 2. on the first data beat of burst transfer, the tsramasw is 3 clk_mem periods. on subsequent data beats, the tsramasw is 1 clk_mem period.
electrical, mechanical, and thermal specification 6-35 intel? pxa27x processor family ac timing specifications figure 6-22. 32-bit sram write timing 0 1 2 3 byte addr byte addr byte addr byte addr d0 d1 d2 d3 mask0 mask1 mask2 mask3 tsramcd tsramwl tsramwl tsramwl tsramwl tsramdoh tsramdh tsramdswh tsramcehw tsramah tsramasw tsramah tsramasw tsramcesw tsramas note: 4-beat burst, msc0[rdn0] = 2, msc0[rrr0] = 1 clk_mem ncs<0> ma<25:2> ma<1:0> nwe noe rdnwr md<31:0> dqm<3:0> ncsx or nsdcsx nadv(nsdcas)
6-36 electrical, mechanical, and thermal specification intel? pxa27x processor family ac timing specifications 6.4.6 variable-latency i/o parameters and timing diagrams the following sections describe the read/write parameters and timing diagrams for vlio memory interfaces with the memory controller. table 6-20 lists the timing-information references for both the read and the write timing diagrams. note: table 6-20 lists programmable register items. for more information on these items, see the ?memory controller? chapter in the intel? pxa27x processor family developer?s manual for register configurations. figure 6-23. 16-bit sram write for 4/2/1 byte(s) timing addr addr+1 addr addr '0' '0' '0' '0' or '1' bytes 1:0 bytes 3:2 bytes 1:0 byte 0 or 1 0b00 0b00 0b01 / 0b10 tsramcd tsramdswh tsramdswh tsramdswh tsramwl tsramwl tsramwl tsramwl tsramcd tsramcd tsramdh tsramdh tsramdswh tsramdh tsramdh tsramceh tsramces tsramceh tsramces tsramceh tsramwl tsramasw tsramah tsramces tramas tramas tramas 32-bit write 16-bit write 8-bit write note: msc1[rdf2]=1, msc1[rdn]=2, msc1[rrr2]=2 clk_mem ncs<2> ma<25:1> ma<0> nwe noe rdnwr md<15:0> dqm<1:0> nadv(nsdcas) n csx or nsdcsx
electrical, mechanical, and thermal specification 6-37 intel? pxa27x processor family ac timing specifications 6.4.6.1 variable latency i/o read timing figure 6-24 shows the timing for 32-bit variable-latency i/o (vlio) memory reads. table 6-20 lists the timing parameters used in these diagrams. table 6-20. vlio timing symbols parameters min typ max 2 units 1 notes tvlioas address setup to ncs asserted 1 ? 1 clk_mem ? tvlioah address hold from npwe/noe de- asserted 2 mscx[rdn] 30 clk_mem ? tvlioasrw0 address setup to npwe/noe asserted (1st access) 3 ? 3 clk_mem ? tvlioasrwn address setup to npwe/noe asserted (next access(es)) 2 mscx[rdn] 30 clk_mem ? tvlioces ncs setup to npwe/noe asserted 2 ? 2 clk_mem ? tvlioceh ncs hold from npwe/noe de- asserted 1 ? 1 clk_mem ? tvliodswh md/dqm setup (minimum) to npwe de-asserted 5 mscx[rdf]+2 32 clk_mem ? tvliodh md/dqm hold from npwe de- asserted 1 ? 1 clk_mem ? tvliodsoh md setup to address changing 1.5 ? clk_mem ? tvliodoh md hold from address changing 0 ? ns ? tvliordyh rdy hold from npwe/noe de- asserted 0 ? ? ns ? tvliorwa npwe/noe assert period between writes 4 msc[rdf]+1 + waits 31 + waits clk_mem ? tvliorwd npwe/noe de-asserted period between writes 4 mscx[rdn*2] 60 clk_mem 3 tvliocd ncs de-asserted after a read/write to next ncs or nsdcs asserted (minimum) 1 mscx[rrr]*2 + 1 15 clk_mem ? notes: 1. numbers shown as integer multiples of the clk_mem period are ideal. actual numbers vary with pin-to-pin differences in loading and transition direction (rise or fall). 2. maximum values reflect the register dynamic ranges. 3. depending on the programmed value of msc[rdn] and the clk_ mem speed, this can be a significant amount of time. processor does not drive the data bus during this time between transfers. if the vlio does not drive the data bus during this time between transfers, the data bus is not driven for this per iod of time. if msc[rdn] is programmed to 60 (which equals 60 clk_mem cycles), then the data bus could potentially not be driven for 30*2 = 60 clk_mem cycles.
6-38 electrical, mechanical, and thermal specification intel? pxa27x processor family ac timing specifications 6.4.6.2 variable-latency i/o write timing figure 6-25 shows the timing for 32-bit vlio memory writes. table 6-20 lists the timing parameters used in figure 6-25 . figure 6-24. 32-bit vlio read timing addr addr + 1 addr + 2 addr + 3 0b00 0b00/0b01/0b10/0b11 0b0000 corresponding mask value tvliocd tvliorwa tvliorwd tvliorwa tvliorwd tvliorwa tvliorwd tvliodoh tvliodsoh tvliodoh tvliodsoh tvliodoh tvliodsoh tvliodoh tvliodsoh tvliordyh tvliordyh tvliordyh tvliordyh tvlioceh tvlioah tvlioasrwn tvlioah tvlioasrwn tvlioah tvlioasrwn tvlioah tvlioasrw0 tvlioces tvlioas 0 waits 1 wait 2 waits 3 waits note: msc0[rdf0] = 3, msc0[rdn0 = 2, msc0[rrr0] = 1 clk_mem ncs<0> ma<25:2> ma<1:0>(sa1110x='0') ma<1:0>(sa1110x='1') noe npwe rdnwr rdy rdy_sync md<31:0> dqm<3:0>(sa1110x='0') dqm<3:0>(sa1110x='1') ncsx or nsdcsx
electrical, mechanical, and thermal specification 6-39 intel? pxa27x processor family ac timing specifications figure 6-25. 32-bit vlio write timing addr addr + 1 addr + 2 addr + 3 0b00 d0 d1 d2 d3 mask0 mask1 mask2 mask3 tvliocd tvliorwa tvliorwd tvliorwa tvliorwd tvliorwa tvliorwd tvliorwa tvliodh tvliodh tvliodswh tvliodh tvliodswh tvliodh tvliodswh tvliodswh tvliordyh tvliordyh tvliordyh tvliordyh tvlioceh tvlioah tvlioasrwn tvlioah tvlioasrwn tvlioah tvlioasrwn tvlioah tvlioasrw0 tvlioces tvlioas 0 waits note: msc0[rdf0] = 3, msc0[rdn0] = 2, msc0[rrr0] = 1 1 wait 2 waits 3 waits clk_mem ncs<0> ma<25:2> ma<1:0> npwe noe rdnwr rdy rdy_sync md<31:0> dqm<3:0> ncsx or nsdcsx
6-40 electrical, mechanical, and thermal specification intel? pxa27x processor family ac timing specifications 6.4.7 expansion-card interface parameters and timing diagrams the following sections describe the read/write parameters and timing diagrams for compactflash* and pc card* (expansion card) memory interfaces with the memory controller. table 6-21 shows the timing parameters used in the timing diagrams, figure 6-26 and figure 6-27 . note: table 6-21 lists programmable register items. see the ?memory controller? chapter in the intel? pxa27x processor family developer?s manual for register configurations for more information on these items. table 6-21. expansion-card interface ac specifications symbols parameters min typ max units notes tcdavcl address valid to cmd low 2 mcx[set] 127 clk_mem 1,2,3,4 tcdchai cmd high to address invalid 0 mcx[hold] 63 clk_mem 1,2,3,5 tcddvcl write data valid to cmd low ? 1 ? clk_mem 1,3 tcdchwdi cmd high to write data invalid ? 4 ? clk_mem 1,3 tcddvch read data valid to cmd high 2 ? ? clk_mem 1,3 tcdchrdi cmd high to read data invalid 0 ? ? ns 3 tcdcmd cmd assert during transfers ? tcdclps + tcdphch + npwait assertion ? clk_mem 1,3 tcdilcl niois16 low to cmd low 4 ? ? clk_mem 1,3 tcdchih cmd high to niois16 high 2 ? ? clk_mem 1,3 tcdclps cmd low to npwait sample ? x_asst_wait ? clk_mem 1,3,6,7 tcdphch npwait high to cmd high ? x_asst_hold ? clk_mem 1,3,6,8 notes: 1. all numbers shown are ideal, integer multiples of the clk_mem period. actual numbers vary with pin-to-pin differences in loading and transition direction (rise or fall). 2. includes signals ma[25:0], npreg, and npsktsel. 3. cmd refers to signals npwe, npoe, npiow, and npior 4. refer to the intel? pxa27x processor family developer?s manual , expansion memory timing configuration registers to change the assertion of cmd using the mcx[set] bit fields. 5. refer to the intel? pxa27x processor family developer?s manual , expansion memory timing configuration registers to increase the assertion of cmd using the mcx[hold] bit fields. 6. refer to the intel? pxa27x processor family developer?s manual , expansion memory timing configuration registers to increase timings. the timings are changed by programming the mcx[asst] respective bit fiel ds. refer to the pc card interface command assertion code table to see the effect of mcx[asst]. 7. tcdclps equals clk_mem * x_asst_wait. refer to the pc card interface command assertion code table in the intel? pxa27x processor family developer?s manual for the correlation between x_asst_wait and the mcx[asst] bit field. 8. tcdphch equals clk_mem * x_asst_hold. refer to the pc card interface command assertion code table in the intel? pxa27x processor family developer?s manual for the correlation between x_asst_hold and the mcx[asst] bit field.
electrical, mechanical, and thermal specification 6-41 intel? pxa27x processor family ac timing specifications figure 6-26. expansion-card memory or i/o 16-bit access timing read data latch tcdcmd tcdphch tcdclps tcdavcl tcdchai tcdchrdi tcddvch tcdchwdi tcddvcl tcdchih tcdilcl clk_mem npce[2],npce[1] ma[25:0],npreg,psktsel npwe,npoe,npiow,npior niois16 md[15:0] (write) rdnwr npwait md[15:0] (read)
6-42 electrical, mechanical, and thermal specification intel? pxa27x processor family ac timing specifications figure 6-27. expansion-card memory or i/o 16-bit access to 8-bit device timing low byte high byte read data latch read data latch tcdphch tcdclps tcdphch tcdclps tcdcmd tcdchai tcdavcl tcdcmd tcdchai tcdavcl tcdchwdi tcdchwdi tcddvcl tcdchrdi tcddvch tcdchrdi tcddvch tcdchih tcdilcl clk_mem ma<25:1>,npreg,psktsel ma<0> npce<2> npce<1> npiow (or) npior rdnwr niois16 npwait md<7:0> (read) md<7:0> (write)
electrical, mechanical, and thermal specification 6-43 intel? pxa27x processor family ac timing specifications 6.5 lcd timing specifications figure 6-28 describes the lcd timing parameters. the lcd pin timing specifications are referenced to the pixel clock (l_pclk_wr). table 6-22 gives the values for the parameters. figure 6-28. lcd timing definitions table 6-22. lcd timing specifications symbol description min max units notes tpclkdv l_pclk_wr rise/fall to l_ldd<17:0> driven valid ? 14 ns 1 tpclklv l_pclk_wr fall to l_lclk_a0 driven valid ? 14 ns 2 tpclkfv l_pclk_wr fall to l_fclk_rd driven valid ? 14 ns 2 tpclkbv l_pclk_wr rise to l_bias driven valid ? 14 ns 2 notes: 1. the lcd data pins can be programmed to be driven on either the rising or falling edge of the pixel clock (l_pclk_wr). 2. these lcd signals can toggle when l_pclk_wr is not clocking (between frames). at this time, they are clocked with the internal version of the pixel clock before it is driven out onto the l_pclk_wr pin. l_ldd[17:0] (rise) l_ldd[17:0] (fall) l_pclk_wr l_lclk_a0 l_bias l_fclk_rd t pclkdv t pclklv t pclkfv t pclkbv t pclkdv
6-44 electrical, mechanical, and thermal specification intel? pxa27x processor family ac timing specifications 6.6 ssp timing specifications figure 6-29 describes the ssp timing parameters. the ssp pin timing specifications are referenced to sspclk. table 6-23 gives the values for the parameters. note: in figure 6-29 , read the term ?tsfmv? as ?tstxv.? figure 6-30. timing diagram for ssp slave mode transmitting data to an external peripheral figure 6-29. ssp master mode timing definitions table 6-23. ssp master mode timing specifications symbol description min max units notes ts f mv sspsclk rise to sspsfrm driven valid 21 ns trxds ssprxd valid to sspsclk fall (input setup) 11 ns trxdh sspsclk fall to ssprxd invalid (input hold) 0 ns ts f mv sspsclk rise to ssptxd valid 22 ns sspsclk sspsfrm ssptxd ssprxd t sfmv t sfmv t rxds t rxdh pxa27x processor transmitting data sspsclk (from peripheral) ssptxd (from ssp) pxa27x ssp (slave mode) transmitting data to external peripheral sspsfrm (from peripheral) tsclk2txd_output_delay tsfrm2txd_output_delay pxa27x processor transmitting data sspsclk (from peripheral) ssptxd (from ssp) pxa27x ssp (slave mode) transmitting data to external peripheral sspsfrm (from peripheral) tsclk2txd_output_delay tsfrm2txd_output_delay
electrical, mechanical, and thermal specification 6-45 intel? pxa27x processor family ac timing specifications table 6-24. timing specification for ssp slave mode transmitting data to external peripheral figure 6-31. timing diagram for ssp slave mode receiving data from external peripheral table 6-25. timing specification for ssp slave mode receiving data from external peripheral 6.7 jtag boundary scan timing specifications table 6-26 shows the ac specifications for the jtag boundary-scan test-signals. figure 6-32 shows the timing diagram. parameter description min typ max units tsfrm2txd_output_delay frame to tx data out 10.58 ns tsclk2txd_output_delay clock to tx data out 10.52 ns parameter description min typical max units tsfrm_input_delay frame to rx data capture 5.21 ns tsclk_input_delay clock to rx data capture 5.04 ns trxd_input_delay rx data setup to capture 4.81 ns pxa27 processor receiving data sspsclk (from peripheral) ssprxd (from peripheral) sspsfrm (from peripheral) pxa27x ssp (slave m ode receiving data from external peripheral data capture tsclk_input_delay tsfrm_input_delay trxd_input_delay data capture pxa27 processor receiving data sspsclk (from peripheral) ssprxd (from peripheral) sspsfrm (from peripheral) pxa27x ssp (slave m ode receiving data from external peripheral data capture tsclk_input_delay tsfrm_input_delay trxd_input_delay data capture table 6-26. boundary scan timing specifications (sheet 1 of 2) symbol parameter min max units notes tbsf tck frequency 0.0 33.33 mhz ? tbsch tck high time 15.0 ? ns measured at 1.5 v tbscl tck low time 15.0 ? ns measured at 1.5 v tbscr tck rise time ? 5.0 ns 0.8 v to 2.0 v tbscf tck fall time ? 5.0 ns 2.0 v to 0.8 v
6-46 electrical, mechanical, and thermal specification intel? pxa27x processor family ac timing specifications tbsis1 input setup to tck tdi, tms 4.0 ? ns ? tbsih1 input hold from tck tdi, tms 6.0 ? ns ? tbsis2 input setup to tck ntrst 25.0 ? ns ? tbsih2 input hold from tck ntrst 3.0 ? ns ? tntrst assertion time of ntrst 6 ? ms ? tbsov1 tdo valid delay 1.5 6.9 ns relative to falling edge of tck tof1 tdo float delay 1.1 5.4 ns relative to falling edge of tck figure 6-32. jtag boundary-scan timing table 6-26. boundary scan timing specifications (sheet 2 of 2) symbol parameter min max units notes ca p tur e - i r shift-ir run-test/idle tof1 tbsov1 tbsov1 tbsov1 tbsov1 tbsov1 tbsov1 tbsov1 tbsih1 tbsis1 tbsih1 tbsis1 tbsih2 tbsis2 tbscl tbsch tbsf tck ntrst tms tdi tdo controller state te st - l o g ic- re se t run-test/idle sele ct - d r-sc a n s e le ct - ir-sc a n e xit1 - i r up d a t e-ir t e st-logic - re s et tntrst
electrical, mechanical, and thermal specification a-1 intel? pxa27x processor family programmer enabling a the intel pxa27x processor family is a high-performance, low-power microprocessor now available with additional memory chips. one of the chips available within the family is the intel strataflash? wireless memory (l18). a.1 introduction this chapter describes how to prepare the intel pxa27x processor for flash memory programming, and how to reduce programming time in a factory environment. the intel pxa27x processor can be enabled to program flash using one of two different methods. one method focuses on programming the intel pxa27x processor prior to assembly; the other focuses on waiting until after the intel pxa27x processor has been assembled before programming. perhaps both methods might be suitable, depending on the design requirements. this chapter explains the trade-offs between different methods, thus helping reduce time in a factory environment and/or reducing cost of development. the direct-access programming method requires minimum software development and takes less time to program the flash memory. direct-access programming requires that all other memory devices along with the intel pxa27x processor be placed into high-z (by issuing a jtag high-z instruction) while programming the intel strataflash? wireless memory. all the power domains must be brought up to their required voltages to prevent damage to the part. all other memories are placed into high-z by applying power and ensuring the de-assertion of their chip-select signals. the second method for programming flash within the intel pxa27x processor requires a greater amount of code development through the jtag controller. it is a slower programming method but requires fewer pins. this method does not require any of the memory address, data, or control signals to be pinned out. flash loader code is loaded into the intel pxa27x processor mini- instruction cache. the code is then executed and uses the intel pxa27x memory controller to program the flash and de-select the other memory devices that might be present within the scsp. this method is referred to as jtag flash programming . all the power domains on intel pxa27x processors must be brought up to their required voltages to prevent damage to the part. all input signals not used must be driven to prevent excessive current usage. refer to the intel? pxa27x processor design guide ?debug interface? chapter for jtag- specific command information. table a-1 shows the comparison using either the direct-access programming method or the jtag flash programming method.
a-2 electrical, mechanical, and thermal specification intel? pxa27x processor family intel? pxa27x processor family programmer enabling 1 a.2 device configuration the intel pxa27x processor uses a pxa27x processor die combined with either flash memory die or flash and sdram memory chips all packaged together. currently available intel pxa27x processor configurations are as follows: ? 32 mbytes of intel strataflash? memory and 32 mbytes of low-power sdram ? 64 mbytes of intel strataflash? memory ? 32 mbytes of intel strataflash? memory a.3 procedure to prepare intel? pxa27x processor for programming flash the following steps describe the procedure to prepare the intel pxa27x processor using either the direct- access programming method or the jtag-flash programming method. to prepare for direct- access programming, the internal memories other than flash are de-selected by de-asserting the dedicated chip-select signals and the intel pxa27x processor must be placed into high-z using high-z jtag command. to prepare for jtag flash programming, bring the intel pxa27x processor out of reset. it is responsible for controlling all the memory signals and receiving the data to program the flash devices through the jtag controller. a.3.1 sequence required for direct-access programming follow the steps below to prepare the intel pxa27x processor for direct-access programming. use the power-on timing specifications with respect to applying power to the required domains as documented in section 6.2.1 . 1. drive all memory chip selects (other than flash) to their inactive state to guarantee the other memories are not contending with the flash signals (that is, xcs signal for sdram must be driven high). table a-1. direct access versus jtag flash programming 1 methods maximum mb/s flash programming time (32-bit) maximum mb/s flash programming time (16-bit) total sign als required to be pinout of end user product software development effort direct access programming 57.14 28.57 4 51 2 jtag high-z instruction jtag flash programming 4.125 5 4.125 5 5 3 jtag flash programmer residing within the mini i-cache 1. data rates are best case not accounting for any overhead (t hat is, running a checksum algorithm and downloading the flash loader into the pxa27x processor mini-instruction cache) 2. these signals (include address, data, control and jtag) require a connector on the end user?s product. 3. depending on the system design, a connector on the end user's product requires nreset, ntrst, tms, tdi, and tck. tdo is optional. 4. calculated based on tck (max) = 33mhz. mb/s = 33hmz / 8 bits 5. calculated based on twlwh = 50ns and twhwl = 20ns. mb/s = 1/((twlwh+twhwl) / 2)
electrical, mechanical, and thermal specification a-3 intel? pxa27x processor family intel? pxa27x processor family programmer enabling 2. drive clk_req pin low, nbatt_fault pin high, and nvdd_fault pin high. 3. apply a hardware reset to the mcp by asserting nreset and ntrst together. ? 4. release reset by de-asserting nreset and ntrst together. 5. wait for nreset_out to de-assert. 6. issue the high-z jtag command to place pxa27x processor signals into high-z state. 7. begin programming the in-chip flash devices. ?? ? nreset_out from the pxa27x processor die must be externally connected to the nrst input of the flash die using a level shifter. a.3.2 sequence required for jtag flash programming follow the steps below to prepare the intel pxa27x processor for jtag flash programming. use the power-on timing specifications with respect to applying power to the required domains as documented in section 6.2.1 . 1. drive clk_req pin low, nbatt_fault pin high, and nvdd_fault pin high. 2. apply a hardware reset to the scsp by asserting nreset and ntrst together. ? 3. release jtag reset by de-asserting ntrst. 4. follow steps documented in download code in the instruction cache seen in the intel? pxa27x processor family developer?s manual . 5. download the flash loader utility into the mini-instruction cache, start execution of the flash loader utility. 6. 10 figure a-1. diagram showing steps for putting intel? pxa27x processor into high-z test - logi c -reset ru n - te st /i d l e se l ect-dr-scan s e le ct - i r -s ca n capture- i r shift-highz instruction exit1- i r u p d a te-ir r u n - test/i d le tck ntrst tms tdi controller state
a-4 electrical, mechanical, and thermal specification intel? pxa27x processor family intel? pxa27x processor family programmer enabling ? nreset_out from the pxa27x processor die must be ex ternally connected to the nrst input of the flash die using a level shifter. a.4 intel pxa27x processor connections for flash programming table a-2 describes the connections for existing intel pxa27x processor configurations. table a-2 is specific for the intel pxa27x processor. table a-2 shows the minimum number of balls that must be connected to program the intel strataflash? wireless memory internal to the package for each of the two programming methods as described in section a.1 . for direct-access flash programming, the balls needed are determined based on the power signals and control signals required for placing the intel pxa27x processor into a high-z state. for the jtag-flash programming method, the signals needed are only those that power up the intel pxa27x processor such that the jtag controller can program flash through the intel pxa27x processor memory controller. table a-2 shows the connections required for programming the intel strataflash? wireless memory within the intel pxa27x processor. the first two columns in table a-2 show which signals must be accessed depending on the method used to program the intel strataflash? wireless memory. use the list below to decode the letter representing the die within the intel pxa27x processor. signals required to be tied high must be tied to vcc_batt in deep sleep and tied to vcc_io at all other times unless stated differently. abbreviations used in table a-2 f ball required to program flash b ball required by the pxa27x processor s ball required to deselect sdram v voltage supply connection required rfu reserved for future use o optional (may not be required depending on system design)
electrical, mechanical, and thermal specification a-5 intel? pxa27x processor family intel? pxa27x processor family programmer enabling table a-2. required balls for programming intel strataflash? wireless memory (sheet 1 of 8) required balls (jtag flash programming) required balls (direct access programming) scsp ball position scsp ball name pxa27x processor function 1.8v 256mb-l18 function (16-bit total data bus width) intel? pxa271 configuration 1.8v 256mb sdram function (16-bit total data bus width) intel? pxa271 configuration 1.8v 256mb/128mb-l18 function (32-bit total data bus width) intel? pxa272 and 273 configuration signal instruction memory address signals f v2 ma<25> ma<25> ? ? a23 memory address signals f w2 ma<24> ma<24> a23 ba1 a22 f w4 ma<23> ma<23> a22 ba0 a21 f y4 ma<22> ma<22> a21 ? a20 f w5 ma<21> ma<21> a20 ? a19 f t4 ma<20> ma<20> a19 ? a18 f r4 ma<19> ma<19> a18 ? a17 f p2 ma<18> ma<18> a17 ? a16 f w6 ma<17> ma<17> a16 ? a15 f t5 ma<16> ma<16> a15 ? a14 f r5 ma<15> ma<15> a14 ? a13 f v6 ma<14> ma<14> a13 ? a12 f u6 ma<13> ma<13> a12 a12 a11 f t6 ma<12> ma<12> a11 a11 a10 f w7 ma<11> ma<11> a10 a10 a9 f p4 ma<10> ma<10> a9 a9 a8 fp5ma<9>ma<9>a8a8a7 ft7ma<8>ma<8>a7a7a6 fr6ma<7>ma<7>a6 a6a5 fn5ma<6>ma<6>a5 a5a4 fw8ma<5>ma<5>a4 a4 a3 fr7ma<4>ma<4>a3 a3a2 fp6ma<3>ma<3>a2a2a1 ft8ma<2>ma<2>a1a1a0 fy3ma<1>ma<1>a0a0 ? w3ma<0>ma<0>???
a-6 electrical, mechanical, and thermal specification intel? pxa27x processor family intel? pxa27x processor family programmer enabling memory data signals table a-2. required balls for programming intel strataflash? wireless memory (sheet 2 of 8) required balls (jtag flash programming) required balls (direct access programming) scsp ball position scsp ball name pxa27x processor function 1.8v 256mb-l18 function (16-bit total data bus width) intel? pxa271 configuration 1.8v 256mb sdram function (16-bit total data bus width) intel? pxa271 configuration 1.8v 256mb/128mb-l18 function (32-bit total data bus width) intel? pxa272 and 273 configuration signal instruction
electrical, mechanical, and thermal specification a-7 intel? pxa27x processor family intel? pxa27x processor family programmer enabling f m5 md<31> md<31> ? ? d31 memory data signals f l5 md<30> md<30> ? ? d30 f l6 md<29> md<29> ? ? d29 f t9 md<28> md<28> ? ? d28 f t10 md<27> md<27> ? ? d27 f r11 md<26> md<26> ? ? d26 f r12 md<25> md<25> ? ? d25 f u13 md<24> md<24> ? ? d24 f p15 md<23> md<23> ? ? d23 f r15 md<22> md<22> ? ? d22 f n15 md<21> md<21> ? ? d21 f w14 md<20> md<20> ? ? d20 f u15 md<19> md<19> ? ? d19 f t16 md<18> md<18> ? ? d18 f n16 md<17> md<17> ? ? d17 f n17 md<16> md<16> ? ? d16 f n6 md<15> md<15> d15 d0 d15 f m6 md<14> md<14> d14 d1 d14 f r8 md<13> md<13> d13 d3 d13 f r9 md<12> md<12> d12 d2 d12 f r10 md<11> md<11> d11 d5 d11 f t11 md<10> md<10> d10 d4 d10 f t12 md<9> md<9> d9 d7 d9 f r14 md<8> md<8> d8 d6 d8 f v13 md<7> md<7> d7 d9 d7 f t14 md<6> md<6> d6 d8 d6 f m15 md<5> md<5> d5 d11 d5 f m16 md<4> md<4> d4 d10 d4 f v15 md<3> md<3> d3 d13 d3 f p16 md<2> md<2> d2 d12 d2 table a-2. required balls for programming intel strataflash? wireless memory (sheet 3 of 8) required balls (jtag flash programming) required balls (direct access programming) scsp ball position scsp ball name pxa27x processor function 1.8v 256mb-l18 function (16-bit total data bus width) intel? pxa271 configuration 1.8v 256mb sdram function (16-bit total data bus width) intel? pxa271 configuration 1.8v 256mb/128mb-l18 function (32-bit total data bus width) intel? pxa272 and 273 configuration signal instruction
a-8 electrical, mechanical, and thermal specification intel? pxa27x processor family intel? pxa27x processor family programmer enabling memory control signals fv19 sdclk< 3> sdclk< 3> f-clk ? f-clk flash clock signals: max 54 mhz external. used for synchronous reads - if only asynchronous reads are to be performed, clk must be tied to vcc_mem, wait signal can be floated and adv# must be tied to ground. f w1 ncs<0> ncs<0> f1-ce# ? f1-ce# flash chip select f w19 noe noe oe# ? oe# flash output enable f y8 nf_rst ? f-rst# ? f-rst# connect to the nreset_out (b19) output signal of the pxa27x processor die (level-shifter required) f w10 f_vpp ? f-vpp ? f-vpp vpp must be above vpplk, and within the specified vpplmin/max values (nominally1.8v). refer to the flash datasheet for additional information showing example vpp supply connections. fw15 nf_wp< 0> ? f-wp1# ? f-wp1# tie high to vcc_mem rfu y14 nf_wp< 1> ???? reserved for a stacked data flash memory write protection pin (not yet available in any current mcp configurations) tie high to vcc_mem f w20 nwe nwe we# we# we# flash write enable p19 sdclk< 1> sdclk< 1> ? r-clk ? sdram clock signals (no connect) sv18 nsdcs< 0> nsdcs< 0> ? d1-cs# ? tie high to vcc_mem w18 dqm<0> dqm<0> ? d-dm1 ? sdram byte mask (no connect) p17 dqm<1> dqm<1> ? d-dm0 ? t18 sdcke sdcke ? d-cke ? sdram clock enable (no connect) fu20 nsdca s nsdcas adv# d-cas adv# flash address valid signal table a-2. required balls for programming intel strataflash? wireless memory (sheet 4 of 8) required balls (jtag flash programming) required balls (direct access programming) scsp ball position scsp ball name pxa27x processor function 1.8v 256mb-l18 function (16-bit total data bus width) intel? pxa271 configuration 1.8v 256mb sdram function (16-bit total data bus width) intel? pxa271 configuration 1.8v 256mb/128mb-l18 function (32-bit total data bus width) intel? pxa272 and 273 configuration signal instruction
electrical, mechanical, and thermal specification a-9 intel? pxa27x processor family intel? pxa27x processor family programmer enabling om4rdnwrrdnwr??? used to drive the direction signal of external buffers bbb18 boot_s el boot_s el ??? boot select signal that configures the memory controller for the bus width of the boot memory: tie low for 32-bit boot flash tie high to vcc_mem for 16-bit boot flash pxa27x processor testclk bba13 testcl k testcl k ??? reserved for manufacturing test. must be grounded for normal operation. pxa27x processor jtag interface bbb14tditdi??? jtag debug signals, re fer to the debug interface chapter of the pxa27x processor family design guide for additional information bbe14tcktck??? b b d14 tdo tdo ? ? ? bbc13tmstms??? b b c14 ntrst ntrst ? ? ? pxa27x processor clock signals bbe19 pxtal_i n pxtal_i n ??? must connect to a 13 mhz crystal or oscillator. refer to section 5.5.2, ?13.000-mhz oscillator specifications? for detail information. bbe20 pxtal_ out pxtal_ out ??? bbb17 txtal_i n txtal_i n ??? if register oscc[oon] and oscc[cri] are both clear, txtal_in can be left unconnected or grounded. refer to the clocks and power chapter of the intel? pxa27x processor family developer?s manual for additional information. bba17 txtal_ out txtal_ out ??? if register oscc[oon] and oscc[cri] are both clear, txtal_out can remain unconnected bbe15 clk_re q clk_re q ???tie low pxa27x processor power control signals table a-2. required balls for programming intel strataflash? wireless memory (sheet 5 of 8) required balls (jtag flash programming) required balls (direct access programming) scsp ball position scsp ball name pxa27x processor function 1.8v 256mb-l18 function (16-bit total data bus width) intel? pxa271 configuration 1.8v 256mb sdram function (16-bit total data bus width) intel? pxa271 configuration 1.8v 256mb/128mb-l18 function (32-bit total data bus width) intel? pxa272 and 273 configuration signal instruction
a-10 electrical, mechanical, and thermal specification intel? pxa27x processor family intel? pxa27x processor family programmer enabling bbb16 pwr_e n pwr_e n ??? use the power-on timing specifications for power-up sequencing documented in section 6.2.1 b b d17 sys_en sys_en ? ? ? b b a16 nreset nreset ? ? ? bbb19 nreset _out nreset _out ??? connect externally to the input nrst signal (y8) of the flash die. bbc17 nvdd_f ault nvdd_f ault ? ? ? tie high bbc18 nbatt_ fault nbatt_ fault ? ? ? tie high bbd20 pwr_o ut pwr_o ut ? ? ? 0.1 f cap to ground bbc19 gpio<5 > pwr_c ap<0> ??? 0.1 f cap between the pins bbd18 gpio<6 > pwr_c ap<1> ??? bbe18 gpio<7 > pwr_c ap<2> ??? 0.1 f cap between the pins bbe16 gpio<8 > pwr_c ap<3> ??? bba15 gpio<0 > gpio<0 > ??? the gpio<0> pin has an internal resistive pull-down and the gpio<1> has an internal resistive pull-up that are enabled during power-on, hardware, watchdog, and gpio resets. the pull- up/down is disabl ed when pssr[rdh] bit field is clear. see the intel? pxa27x family processor developer?s manual clocks and power chapter for additional information. bbd16 gpio<1 > gpio<1 > ??? power connections vve17 vcc_ba tt vcc_ba tt ? ? ? apply 3.0v +/- 25% table a-2. required balls for programming intel strataflash? wireless memory (sheet 6 of 8) required balls (jtag flash programming) required balls (direct access programming) scsp ball position scsp ball name pxa27x processor function 1.8v 256mb-l18 function (16-bit total data bus width) intel? pxa271 configuration 1.8v 256mb sdram function (16-bit total data bus width) intel? pxa271 configuration 1.8v 256mb/128mb-l18 function (32-bit total data bus width) intel? pxa272 and 273 configuration signal instruction
electrical, mechanical, and thermal specification a-11 intel? pxa27x processor family intel? pxa27x processor family programmer enabling vv a12,b7, b9,b11, e1,j19, k3,l19, r2,r20, t13, t15,u1 vcc_c ore vcc_c ore ??? apply 1.3v +/- 10% vvf18 vcc_pl l vcc_pl l ??? vv m3,n3, r3,u3 vcc_sr am vcc_sr am ? ? ? apply 1.1v +/- 10% vv c6 vcc_us im vcc_us im ??? apply 3.0v +/- 10% v v l20 vcc_bb vcc_bb ? ? ? v v a11,b8 vcc_lc d vcc_lc d ??? vv a1,a2, d1 vcc_us b vcc_us b ??? vv h20,j1, l1 vcc_io vcc_io ? ? ? vv n1,n20, p20, r19, r1,v7, v9, v11,v14, v17,y20 , t2,v5, v8, v10, v12,v16 , y7,y6, y10,y11, y12, y13, y16 vcc_m em vcc_m em f-vcc, vccq f-vcc, vccq f-vcc, vccq apply 1.8v -5/+10% table a-2. required balls for programming intel strataflash? wireless memory (sheet 7 of 8) required balls (jtag flash programming) required balls (direct access programming) scsp ball position scsp ball name pxa27x processor function 1.8v 256mb-l18 function (16-bit total data bus width) intel? pxa271 configuration 1.8v 256mb sdram function (16-bit total data bus width) intel? pxa271 configuration 1.8v 256mb/128mb-l18 function (32-bit total data bus width) intel? pxa272 and 273 configuration signal instruction
a-12 electrical, mechanical, and thermal specification intel? pxa27x processor family intel? pxa27x processor family programmer enabling v v k16 vss_bb vss_bb ? ? ? connect to ground vv c1,e7, e10,f9, f11,f13, h15,j5, k6,n4, r13, r16,u2, u4,u18, v3,y1 vss_co re vss_co re ??? vvf19 vss_pl l vss_pl l ??? vv a18,a19 , a20, b20,c15 , c20, d19, n2, n18, p18, t1, u5, u7, u8, u9, u10, u11, u12, u14, u16, u19, y2, w11,y5, y9,y17 vss_me m, vss vss_me m, vss vssq, vss vssq, vss vssq, vss vv b13,d6, d9, e12,e4, f20, g15, j2,l2 vss_io vss_io ? ? ? vv w11,y5, y9,y17 vss???? gpio signals b either configure all gpio signals as outputs or drive all gpio input signals. note: output pads must not be driven by the system; and, for minimal system power consumption, they must not be allowed to drive other logic in th e system that uses different supply levels. table a-2. required balls for programming intel strataflash? wireless memory (sheet 8 of 8) required balls (jtag flash programming) required balls (direct access programming) scsp ball position scsp ball name pxa27x processor function 1.8v 256mb-l18 function (16-bit total data bus width) intel? pxa271 configuration 1.8v 256mb sdram function (16-bit total data bus width) intel? pxa271 configuration 1.8v 256mb/128mb-l18 function (32-bit total data bus width) intel? pxa272 and 273 configuration signal instruction
electrical, mechanical, and thermal specification a-13 intel? pxa27x processor family intel? pxa27x processor family programmer enabling a.5 intel pxa27x processor mechanical drawing refer to chapter 3 for the intel pxa27x processor mechanical drawing. a.6 intel pxa27x processor ballout refer to chapter 4 for the intel pxa27x processor ballout.
a-14 electrical, mechanical, and thermal specification intel? pxa27x processor family intel? pxa27x processor family programmer enabling
electrical, mechanical, and thermal specification glossary-1 glossary 3g: an industry term used to describe the next, still-to-come generation of wireless applications. it represents a move from circuit-switched communications (where a device user has to dial in to a network) to broadband, high-speed, packet-based wireless networks (which are always on). the first generation of wireless communications relied on analog technology, followed by digital wireless communications. the third generation expands the digital capabilities by including hi gh-speed connections and increased reliability. 802.11: wireless specifications developed by the ieee, outlining the means to manage packet traffic over a network and ensure that packets do not collide, which could result in the loss of data, when travelling from device to device. 8psk: 8 phase shift key modulation scheme. used in the edge standard. ac ?97 ac-link standard serial interface for modem and audio ack: handshake packet indicating a positive acknowledgment. active device: a device that is powered and is not in the suspended state. air interface: the rf interface between a mobile cellular handset and the base station amps: advanced mobile phone service. a term used for analog technologies, the first generation of wireless technologies. analog: radio signals that are converted into a format that allows them to carry data. cellular phones and other wireless devices use analog in geographic areas with insufficient digital networks. arm* v5te: an arm* architecture designation indicating the processor is conforms to arm* architecture version 5, including ?thumb? mode and the ?el segundo? dsp extensions. asynchronous data: data transferred at irregular intervals with relaxed latency requirements. asynchronous ra: the incoming data rate, fs i, and the outgoing data rate, fs o, of the ra process are independent (i.e., there is no shared master clock). see also rate adaptation . asynchronous src: the incoming sample rate, fsi, and outgoing sample rate, fso, of the src process are independent (i.e., there is no shared master clock). see also sample rate conversion . audio device: a device that sources or sinks sampled analog data. aw g # : the measurement of a wire?s cross-section, as defined by the american wire gauge standard. babble: unexpected bus activity that persists beyond a specified point in a (micro)frame. backlight inverter: a device to drive cold cathode fluorescent lamps used to illuminate lcd panels. bandwidth: the amount of data transmitted per unit of time, typically bits per second (b/s) or bytes per second (b/s). the size of a network ?pipe? or channel for communications in wired networks. in wireless, it refers to the range of available frequencies that carry a signal. base station : the telephone company?s interface to the mobile station
glossary-2 electrical, mechanical, and thermal specification intel? pxa27x processor family bga: ball grid array bfsk: binary frequency shift keying. a coding scheme for digital data. bit: a unit of information used by digital computers. represents the smallest piece of addressable memory within a computer. a bit expresses the choice between two possibilities and is typically represented by a logical one (1) or zero (0). bit stuffing: insertion of a ?0? bit into a data stream to cause an electrical transition on the data wires, allowing a pll to remain locked. blackberry : a two-way wireless device (pager) made by research in motion (rim) that allows users to check e-mail and voice mail translated into text, as well as page other users of a wireless network service. it has a miniature ?qwerty? keyboard that can be used by your thumbs, and uses sms protocol. a blackberry user must subscribe to the proprietary wireless service that allows for data transmission. bluetooth: a short-range wireless specification that allows for radio connections between devices within a 30-foot range of each other. the name comes from 10th-century danish king harald blatand (bluetooth), who unified denmark and norway. bpsk : binary phase shift keying. a means of encoding digital data into a signal using phase-modulated communications. b/s: transmission rate expressed in bits per second. b/s: transmission rate expressed in bytes per second. btb: branch target buffer bts : base transmitter station buffer: storage used to compensate for a difference in data rates or time of occurrence of events, when transmitting data from one device to another. bulk transfer: one of the four usb transfer types. bulk transfers are non-periodic, large bursty communication typically used for a transfer that can use any available bandwidth and can also be delayed until bandwidth is available. see also transfer type . bus enumeration: detecting and identifying usb devices. byte: a data element that is eight bits in size. capabilities: those attributes of a usb device that are administrated by the host. cas : cycle accurate simulator cas-b4-ras: see cbr. cbr: cas before ras. column address strobe before row address strobe. a fast refresh technique in which the dram keeps track of the next row it needs to refresh, thus simplifying what a system would have to do to refresh the part. cdma: code division multiple access u.s. wireless carriers sprint pcd and verizon use cdma to allocate bandwidth for users of digital wireless devices. cdma distinguishes between multiple transmissions carried simultaneously on a single wireless signal. it carries the transmissions on that signal, freeing network room for the
electrical, mechanical, and thermal specification glossary-3 intel? pxa27x processor family wireless carrier and providing interference-free calls for the user. several versions of the standard are still under development. cdma should increase network capacity for wireless carriers and improve the quality of wireless messaging. cdma is an alternative to gsm. cdpd : cellular digital packet data telecommunications companies can use dcpd to transfer data on unused cellular networks to other users. if one section, or ?cell? of the network is overtaxed, dcpd automatically allows for the reallocation of services. cellular: technology that senses analog or digital transmissions from transmitters that have areas of coverage called cells. as a user of a cellular phone moves between transmitters from one cell to another, the users? call travels from transmitter to transmitter uninterrupted. circuit switched : used by wireless carriers, this method lets a user connect to a network or the internet by dialing in, such as with a traditional phone line. circuit switched connections are typically slower and less reliable than packet-switched networks, but are currently the primary method of network access for wireless users in the u.s. cf : compact flash memory and i/o card interface characteristics: those qualities of a usb device that are unchangeable; for example, the device class is a device characteristic. client: software resident on the host that interacts with the usb system software to arrange data transfer between a function and the host. the client is often the data provider and consumer for transferred data. cml: current mode logic configuring software: software resident on the host software that is responsible for configuring a usb device. this may be a system configuration or software specific to the device. control endpoint : a pair of device endpoints with the same endpoint number that are used by a control pipe. control endpoints transfer data in both directions and, therefore, use both endpoint directions of a device address and endpoint number combination. thus, each control endpoint consumes two endpoint addresses. control pipe: same as a message pipe. control transfer: one of the four usb transfer types. control transfers support configuration/command/status type communications between client and function. see also transfer type . crc: see cyclic redundancy check. csp: chip scale package. cte: coefficient of thermal expansion cti: computer telephony integration. cyclic redundancy check (crc): a check performed on data to see if an error has occurred in transmitting, reading, or writing the data. the result of a crc is typically stored or transmitted with the checked data. the stored or transmitted result is compared to a crc calculated for the data to determine if an error has occurred. d-cache : data cache dect : the digital european cordless telecommunications standard default address: an address defined by the usb specification and used by a usb device when it is first powered or reset. the default address is 00h.
glossary-4 electrical, mechanical, and thermal specification intel? pxa27x processor family default pipe: the message pipe created by the usb system software to pass control and status information between the host and a usb device?s endpoint zero. device: a logical or physical entity that performs a function. the actual entity described depends on the context of the reference. at the lowest level, ?device? may refer to a single hardware component, as in a memory device. at a higher level, it may refer to a collection of hardware components that perform a particular function, such as a usb interface device. at an even higher level, device may refer to the function performed by an entity attached to the usb; for example, a data/fax modem device. devices may be physical, electrical, addressable, and logical. when used as a non-specific reference, a usb device is either a hub or a function. device address: a seven-bit value representing the address of a device on the usb. the device address is the default address (00h) when the usb device is first powered or the device is reset. devices are assigned a unique device address by the usb system software. device endpoint: a uniquely addressable portion of a usb device that is the source or sink of information in a communication flow between the host and device. see also endpoint address . device resources: resources provided by usb devices, such as buffer space and endpoints. see also host resources and universal serial bus resources . device software: software that is responsible for using a usb device. this software may or may not also be responsible for configuring the device for use. dma : direct memory access downstream: the direction of data flow from the host or away from the host. a downstream port is the port on a hub electrically farthest from the host that generates downstream data traffic from the hub. downstream ports receive upstream data traffic. dqpsk : differential quadrature phase shift keying a modulation technique used in tdma. driver: when referring to hardware, an i/o pad that drives an external load. when referring to software, a program responsible for interfacing to a hardware device, that is, a device driver. dsp : digital signal processing dstn passive lcd panel. dual band mobile phone: a phone that supports both analog and digital technologies by picking up analog signals when digital signals fade. most mobile phones are not dual-band. dword: double word. a data element that is two words (i.e., four bytes or 32 bits) in size. dynamic insertion and removal: the ability to attach and remove devices while the host is in operation. e2prom : see electrically erasable programmable read only memory. eav: end of active video edge: enhanced data gsm environment. a faster version of the gsm standard. it is faster because it can carry messages using broadband networks that employ more bandwidth than standard gsm networks. eeprom: see electrically erasable programmable read only memory. electrically erasable programmable read only memory (eeprom): non-volatile re-writable memory storage technology.
electrical, mechanical, and thermal specification glossary-5 intel? pxa27x processor family end user: the user of a host. endpoint: see device endpoint. endpoint address: the combination of an endpoint number and an endpoint direction on a usb device. each endpoint address supports data transfer in one direction. endpoint direction: the direction of data transfer on the usb. the direction can be either in or out. in refers to transfers to the host; out refers to transfers from the host. endpoint number: a four-bit value between 0h and fh, inclusive, associated with an endpoint on a usb device. envelope detector: an electronic circuit inside a usb device that monitors the usb data lines and detects certain voltage related signal characteristics. eof: end-of-(micro)frame. eop: end-of-packet. eotd: enhanced observed time difference etm : embedded trace macrocell, the arm* real-time trace capability external port: see port. eye pattern: a representation of usb signaling that provides minimum and maximum voltage levels as well as signal jitter. far : fault address register, part of the arm* architecture. false eop: a spurious, usually noise-induced event that is interpreted by a packet receiver as an eop. fdd : the mobile station transmits on one frequency; the base station transmits on another frequency fdm: frequency division multiplexing. each mobile station transmits on a different frequency (within a cell). fdma: frequency division multiple access. an analog standard that lets multiple users access a group of radio frequency bands and eliminates interference of message traffic. fhss : see frequency hopping spread spectrum. fiq : fast interrupt request. see interrupt request. frame: a 1 millisecond time base established on full-/low-speed buses. frame pattern: a sequence of frames that exhibit a repeating pattern in the number of samples transmitted per frame. for a 44.1 khz audio transfer, the frame pattern could be nine frames containing 44 samples followed by one frame containing 45 samples. frequency hopping spread spectrum : a method by which a carrier spreads out packets of information (voice or data) over different frequencies. for example, a phone call is carried on several different frequencies so that when one frequency is lost another picks up the call without breaking the connection. fs: see sample rate. fsr : fault status register, part of the arm* architecture.
glossary-6 electrical, mechanical, and thermal specification intel? pxa27x processor family full-duplex: computer data transmission occurring in both directions simultaneously. full-speed: usb operation at 12 mb/s. see also low-speed and high-speed . function: a usb device that provides a capability to the host, such as an isdn connection, a digital microphone, or speakers. gmsk: gaussian minimum shift keying. a modulation scheme used in gsm. gprs: general packet radio service a technology that sends packets of data across a wireless network at speeds up to 114 kbps. unlike circuit-switched networks, wireless users do not have to dial in to networks to download information; gprs wireless devices are ?always on? in that they can send and receive data without dial-ins. gprs works with gsm. gps: global positioning systems gsm: global system for mobile communications. a standard for how data is coded and transferred through the wireless spectrum. the european wireless standard, also used in parts of asia, gsm is an alternative to cdma. gsm digitizes and compresses data and sends it across a channel with two other streams of user data. gsm is based on tdma technology. hamming distance: the distance (number of bits) between encoded values that can change without causing a decode into the wrong value. handshake packet: a packet that acknowledges or rejects a specific condition. for examples, see ack and nak. hdml: handheld device markup language. hdml uses hypertext transfer protocol (http) to display text versions of web pages on wireless devices. unlike wml, hdml is not based on xml. hdml does not allow scripts, while wml uses a variant of javascript. web site developers using hdml must re-code their web pages in hdml to be viewed on the smaller screen sizes of handheld devices. harp: windows ce standard development platform spec (hardware adaptation reference platform) high-bandwidth endpoint: a high-speed device endpoint that transfers more than 1024 bytes and less than 3073 bytes per microframe. high-speed: usb operation at 480 mb/s. see also low-speed and full-speed . host: the host computer system where the usb host controller is installed. this includes the host hardware platform (cpu, bus, and so forth.) and the operating system in use. host controller: the host?s usb interface. host controller driver (hcd): the usb software layer that abstracts the host controller hardware. the host controller driver provides an spi for interaction with a host controller. the host controller driver hides the specifics of the host controller hardware implementation. host resources: resources provided by the host, such as buffer space and interrupts. see also device resources and universal serial bus resources . hstl: high-speed transceiver logic hub: a usb device that provides additional connections to the usb. hub tier: one plus the number of usb links in a communication path between the host and a function.
electrical, mechanical, and thermal specification glossary-7 intel? pxa27x processor family immu: instruction memory management unit, part of the intel xscale? core. i-mode: a japanese wireless service for transferring packet-based data to handheld devices created by ntt docomo. i-mode is based on a compact version of html and does not currently use wap. i-cache: instruction cache ibis: i/o buffer information specification is a behavioral description of the i/o buffers and package characteristics of a semiconductor device. ibis models use a standard format to make it easier to import data into circuit simulation software packages. iden: integrated digital enhanced network. a technology that allows users to access phone calls, two-way radio transmissions, paging and data transmissions from one wireless device. iden was developed by motorola and based on tdma. interrupt request (irq): a hardware signal that allows a device to request attention from a host. the host typically invokes an interrupt service routine to handle the condition that caused the request. interrupt transfer: one of the four usb transfer types. interrupt transfer characteristics are small data, non-periodic, low-frequency, and bounded-latency. interrupt transfers are typically used to handle service needs. see also transfer type . i/o request packet: an identifiable request by a software client to move data between itself (on the host) and an endpoint of a device in an appropriate direction. irda: infrared development association irp: see i/o request packet. irq: see interrupt request. isi: inter-signal interference. data ghosting caused when multi-path delay causes previous symbols to interfere with the one currently being processed. ism: industrial, scientific, and medical band. part of the wireless spectrum that is less regulated, such as 802.11. isochronous data: a stream of data whose timing is implied by its delivery rate. isochronous device: an entity with isochronous endpoints, as defined in the usb specification, that sources or sinks sampled analog streams or synchronous data streams. isochronous sink endpoint: an endpoint that is capable of consuming an isochronous data stream that is sent by the host. isochronous source endpoint: an endpoint that is capable of producing an isochronous data stream and sending it to the host. isochronous transfer: one of the four usb transfer types. isochronous transfers are used when working with isochronous data. isochronous transfers provide periodic, continuous communication between host and device. see also transfer type . jitter: a tendency toward lack of synchronization caused by mechanical or electrical changes. more specifically, the phase shift of digital pulses over a transmission medium. kb/s: transmission rate expressed in kilobits per second. a measurement of bandwidth in the u.s.
glossary-8 electrical, mechanical, and thermal specification intel? pxa27x processor family kb/s: transmission rate expressed in kilobytes per second. little endian: method of storing data that places the least significant byte of multiple-byte values at lower storage addresses. for example, a 16-bit integer stored in little endian format places the least significant byte at the lower address and the most significant byte at the next address. loa: loss of bus activity characterized by an sop without a corresponding eop. low-speed: usb operation at 1.5 mb/s. see also full-speed and high-speed . lsb: least significant bit. lsb: least significant byte. lv ds : low-voltage differential signal mac : multiply accumulate unit mb/s: transmission rate expressed in megabits per second. mb/s: transmission rate expressed in megabytes per second. mc: media center. a combination digital set-top box, video and music jukebox, personal video recorder and an internet gateway and firewall that hooks up to a broadband connection. message pipe: a bidirectional pipe that transfers data using a request/data/status paradigm. the data has an imposed structure that allows requests to be reliably identified and communicated. microframe: a 125 microsecond time base established on high-speed buses. mmc: multimedia card - small form factor memory and i/o card mmx technology : the intel? mmx? technology comprises a set of instructions that are designed to greatly enhance the performance of advanced media and communications applications. see chapter 10 of the intel? architecture software developers manual, volume 3: system programming guid e, order #245472. mobile station: cellular telephone handset m-psk: multilevel phase shift keying. a convention for encoding digital data in which there are multiple states. mmu: memory management unit, part of the intel xscale? core. msb: most significant bit. msb: most significant byte. msl: mobile scalable link. nak: handshake packet indicating a negative acknowledgment. non return to zero invert (nrzi): a method of encoding serial data in which ones and zeroes are represented by opposite and alternating high and low voltages where there is no return to zero (reference) voltage between encoded bits. eliminates the need for clock pulses. nrzi: see non return to zero invert. object: host software or data structure representing a usb entity.
electrical, mechanical, and thermal specification glossary-9 intel? pxa27x processor family ofdm : see orthogonal frequency division multiplexing. orthogonal frequency division multiplexing: a special form of multi-carrier modulation. in a multi-path channel, most conventional modulation techniques are sensitive to inter-symbol interference unless the channel symbol rate is small compared to the delay spread of the channel. ofdm is significantly less sensitive to inter-symbol interference, because a special set of signals is used to build the composite transmitted signal. the basic idea is that each bit occupies a frequency-time window that ensures little or no distortion of the waveform. in practice, it means that bits are transmitted in parallel over a number of frequency-nonselective channels. packet: a bundle of data organized in a group for transmission. packets typically contain three elements: control information (for example, source, destination, and length), the data to be transferred, and error detection and correction bits. packet data is the basis for packet-switched networks, which eliminate the need to dial-in to send or receive information, because they are ?always on.? packet buffer: the logical buffer used by a usb device for sending or receiving a single packet. this determines the maximum packet size the device can send or receive. packet id (pid): a field in a usb packet that indicates the type of packet, and by inference, the format of the packet and the type of error detection applied to the packet. packet switched network: networks that transfer packets of data. pcmcia : personal computer memory card interface association (pc card) pcs: personal communications services. an alternative to cellular, pcd works like cellular technology because it sends calls from transmitter to transmitter as a caller moves. but pcs uses its own network, not a cellular network, and offers fewer ?blind spots? than cellular, where calls are not available. pcs transmitters are generally closer together than their cellular counterparts. pda : personal digital assistant. a mobile handheld device that gives users access to text-based information. users can synchronize their pdas with a pc or network; some models support wireless communication to retrieve and send e-mail and get information from the internet. phase: a token, data, or handshake packet. a transaction has three phases. phase locked loop (pll): a circuit that acts as a phase detector to keep an oscillator in phase with an incoming frequency. physical device: a device that has a physical implementation; for example, speakers, microphones, and cd players. pid: see packet id or process id. pio : programmed input/output pipe: a logical abstraction representing the association between an endpoint on a device and software on the host. a pipe has several attributes; for example, a pipe may transfer data as streams (stream pipe) or messages (message pipe). see also stream pipe and message pipe . pll: see phase locked loop. pm : phase modulation. polling: asking multiple devices, one at a time, if they have any data to transmit. por: see power on reset.
glossary-10 electrical, mechanical, and thermal specification intel? pxa27x processor family port: point of access to or from a system or circuit. for the usb, the point where a usb device is attached. power on reset (por): restoring a storage device, register, or memory to a predetermined state when power is applied. process id : process identifier programmable data rate: either a fixed data rate (single-frequency endpoints), a limited number of data rates (32 khz, 44.1 khz, 48 khz, ?), or a continuously programmable data rate. the exact programming capabilities of an endpoint must be reported in the appropriate class-specific endpoint descriptors. protocol: a specific set of rules, procedures, or conventions relating to format and timing of data transmission between two devices. psp: programmable serial protocol pwm: pulse width modulator qbs: qualification by similarity. a technique allowed by jedec for part qualification when target parameters are fully understood and data exist to warrant omitting a specific test. qam: quadrature amplitude modulation. a coding scheme for digital data. qpsk: quadrature phase shift keying. a convention for encoding digital data into a signal using phase-modulated communications. ra: see rate adaptation. radio frequency device: these devices use radio frequencies to transmit data. one typical use is for bar code scanning of products in a warehouse or distribution center, and sending that information to an erp database. rate adaptation: the process by which an incoming data stream, sampled at fs i, is converted to an outgoing data stream, sampled at fs o, with a certain loss of quality, determined by the rate adaptation algorithm. error control mechanisms are required for the process. fs i and fs o can be different and asynchronous. fs i is the input data rate of the ra; fs o is the output data rate of the ra. request: a request made to a usb device contained within the data portion of a setup packet. retire: the action of completing service for a transfer and notifying the appropriate software client of the completion. rgbt: red, green, blue, transparency rom: read only memory. root hub: a usb hub directly attached to the host controller. this hub (tier 1) is attached to the host. root port: the downstream port on a root hub. rtc: real-time clock sa-1110: strongarm * based applications processor for handheld products intel? strongarm* sa-1111: companion chip for the intel? sa-1110 processor sad: sum of absolute differences
electrical, mechanical, and thermal specification glossary-11 intel? pxa27x processor family sample: the smallest unit of data on which an endpoint operates; a property of an endpoint. sample rate (fs): the number of samples per second, expressed in hertz (hz). sample rate conversion (src): a dedicated implementation of the ra process for use on sampled analog data streams. the error control mechanism is replaced by interpolating techniques. service a procedure provided by a system programming interface (spi). satellite phone: phones that connect callers by satellite. users have a world-wide alternative to terrestrial connections. typical use is for isolated users, such as crews of deep-see oil rigs with phones configured to connect to a satellite service. sav: start of active video saw: surface acoustic wave filter sdram: synchronous dynamic random access memory. service interval: the period between consecutive requests to a usb endpoint to send or receive data. service jitter: the deviation of service delivery from its scheduled delivery time. service rate: the number of services to a given endpoint per unit time. simd: single instruction multiple data (a parallel processing architecture). smart phone: a combination of a mobile phone and a pda, which allow users to communicate as well as perform tasks; such as, accessing the internet and storing contacts in a database. smart phones have a pda-like screen. smrom: synchronous mask rom sms: short messaging service. a service through which users can send text-based messages from one device to another. the message can be up to 160 characters and appears on the screen of the receiving device. sms works with gsm networks. soc: system on chip sof: see start-of-frame. sop: start-of-packet. spi: see system programming interface. also, ?serial peripheral interface protocol. spi: serial peripheral interface split transaction: a transaction type supported by host controllers and hubs. this transaction type allows full- and low-speed devices to be attached to hubs operating at high-speed. spread spectrum: an encoding technique patented by actress hedy lamarr and composer george antheil, which broadcasts a signal over a range of frequencies. sram: static random access memory. src: see sample rate conversion. sse: streaming simd extensions
glossary-12 electrical, mechanical, and thermal specification intel? pxa27x processor family sse2: streaming simd extensions 2: for intel architecture machines, 144 new instructions, a 128-bit simd integer arithmetic and 128-bit simd double precision floating point instructions, enabling enhanced multimedia experiences. ssp: synchronous serial port sstl: stub series terminated logic stage: one part of the sequence composing a control transfer; stages include the setup stage, the data stage, and the status stage. start-of-frame (sof): the first transaction in each (micro)frame. an sof allows endpoints to identify the start of the (micro)frame and synchronize internal endpoint clocks to the host. stream pipe: a pipe that transfers data as a stream of samples with no defined usb structure swi: software interrupt. synchronization type: a classification that characterizes an isochronous endpoint?s capability to connect to other isochronous endpoints. synchronous ra: the incoming data rate, fsi, and the outgoing data rate, fso, of the ra process are derived from the same master clock. there is a fixed relation between fsi and fso. synchronous src: the incoming sample rate, fsi, and outgoing sample rate, fso, of the src process are derived from the same master clock. there is a fixed relation between fsi and fso. system programming interface (spi): a defined interface to services provided by system software. tc: temperature cycling tdd: time division duplexing the mobile station and the base station transmit on same frequency at different times. tdm: see time division multiplexing. tdma: time division multiple access. tdma protocol allows multiple users to access a single radio frequency by allocating time slots for use to multiple voice or data calls. tdma breaks down data transmissions, such as a phone conversation, into fragments and transmits each fragment in a short burst, assigning each fragment a time slot. with a cell phone, the caller would not detect this fragmentation. tdma works with gsm and digital cellular services. tdr: see time domain reflectometer. termination: passive components attached at the end of cables to prevent signals from being reflected or echoed. tft: thin film twist, a type of active lcd panel. three-state: a high-impedance state in which the output is floating and is electrically isolated from the buffer's circuitry. time division multiplexing (tdm): a method of transmitting multiple signals (data, voice, and/or video) simultaneously over one communications medium by interleaving a piece of each signal one after another. time domain reflectometer (tdr): an instrument capable of measuring impedance characteristics of the usb signal lines.
electrical, mechanical, and thermal specification glossary-13 intel? pxa27x processor family time-out: the detection of a lack of bus activity for some predetermined interval. token packet: a type of packet that identifies what transaction is to be performed on the bus. tpv: third party vendor transaction: the delivery of service to an endpoint; consists of a token packet, optional data packet, and optional handshake packet. specific packets are allowed/required based on the transaction type. transaction translator: a functional component of a usb hub. the transaction translator responds to special high-speed transactions and translates them to full/low- speed transactions with full/low-speed devices attached on downstream facing ports. transfer: one or more bus transactions to move information between a software client and its function. transfer type: determines the characteristics of the data flow between a software client and its function. four standard transfer types are defined: control, interrupt, bulk, and isochronous. ts: thermal shock turn-around time: the time a device needs to wait to begin transmitting a packet after a packet has been received to prevent collisions on the usb. this time is based on the length and propagation delay characteristics of the cable and the location of the transmitting device in relation to other devices on the usb. uart: universal asynchronous receiver/transmitter serial port universal serial bus driver (usbd): the host resident software entity responsible for providing common services to clients that are manipulating one or more functions on one or more host controllers. universal serial bus resources: resources provided by the usb, such as bandwidth and power. see also device resources and host resources . upstream: the direction of data flow towards the host. an upstream port is the port on a device electrically closest to the host that generates upstream data traffic from the hub. upstream ports receive downstream data traffic. usbd: see universal serial bus driver . usb-if: usb implementers forum, inc. is a nonprofit corporation formed to facilitate the development of usb compliant products and promote the technology. vbi: vertical blanking interval, also known as the ?backporch?. virtual device: a device that is represented by a software interface layer. an example of a virtual device is a hard disk with its associated device driver and client software that makes it able to reproduce an audio.wav file. vlio: variable latency input/output interface. yuv: a method of characterizing video signals typically used in digital cameras and pal television specifying luminance and chrominance. wa p : wireless application protocol. wap is a set of protocols that lets users of mobile phones and other digital wireless devices access internet content, check voice mail and e-mail, receive text of faxes and conduct transactions. wap works with multiple standards, including cdma and gsm. not all mobile devices support wa p.
glossary-14 electrical, mechanical, and thermal specification intel? pxa27x processor family w-cdma: wideband cdma, a third generation wireless technology under development that allows for high-speed, high-quality data transmission. derived from cdma, w-cdma digitizes and transmits wireless data over a broad range of frequencies. it requires more bandwidth than cdma, but offers faster transmission because it optimizes the use of multiple wireless signals, instead of one, as does cdma. wireless lan: a wireless lan uses radio frequency technology to transmit network messages through the air for relatively short distances, like across an office building or a college campus. a wireless lan can serve as a replacement for, or an extension to, a traditional wired lan. wireless spectrum: a band of frequencies where wireless signals travel carrying voice and data information. word: a data element that is four bytes (32 bits) in size. wml: wireless markup language, a version of hdml is based on xml. wireless applications developers use wml to re-target content for wireless devices.
electrical, mechanical, and thermal specification index-1 a about this document 1-1 ac timing specifications 6-1 ac test load specifications 6-1 gpio timing specifications 6-11 jtag boundary scan timing specifications 6-45 lcd timing specifications 6-43 memory and expansion-card timing specifications 6-12 flash memory parameters and timing diagrams 6-23 sram parameters and timing diagrams 6-33 variable-latency i/o parameters and timing diagrams 6-36 reset and power manager timing specifications 6-2 deep-sleep mode timing 6-7 frequency-change timing 6-10 gpio reset timing 6-5 hardware reset timing 6-4 idle-mode timing 6-10 sleep mode timing 6-6 standby-mode timing 6-10 voltage-change timing 6-11 watchdog reset timing 6-5 ssp timing specifications 6-44 applicable documents 1-2 e electrical specifications 5-1 absolute maximum ratings 5-1 clk_pio and clk_tout specifications 5- 9 dc specification 5-6 operating conditions 5-1 oscillator electrical specifications 5-6 13.000-mhz oscillator specifications 5-8 32.768-khz oscillator specifications 5-7 power-consumption specifications 5-5 expansion-card interface parameters and timing diagrams 6-40 f flash memory read parameters and timing diagrams 6-23 flash memory write parameters and timing diagrams 6-30 functional overview 2-1 g gpio states in deep-sleep mode 6-9 i internal sram read/write timing specifications 6-12 introduction 1-1 about this document 1-1 applicable documents 1-2 number representation 1-1 typographical conventions 1-1 j junction to case temperature thermal resistance 3-3 n number representation 1-1 p package information 1-1 pinlist 4-1 power-on timing specifications 6-2 processor markings 3-3 processor materials 3-2 r rom parameters and timing diagrams 18 s sdram parameters and timing diagrams 6-12 sram read parameters and timing diagrams 6-33 sram write parameters and timing diagrams 6-33 t tray drawing 3-5 typographical conventions 1-1 v variable latency i/o read timing 6-37 variable-latency i/o write timing 6-38
index-2 electrical, mechanical, and thermal specification intel? pxa27x processor family


▲Up To Search▲   

 
Price & Availability of LVPXA271FC0

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X